(edif Parthenon_edif (edifVersion 2 0 0) (edifLevel 0) (KeywordMap (KeywordLevel 0)) (status (written (TimeStamp 1998 11 16 4 38 1) (author "parthenon") (program "NTT PARTHENON group" (version "V1.0")))) (library LIB (edifLevel 0) (technology(numberDefinition)) (cell dff (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port d (direction INPUT)) (port clk (direction INPUT)) (port clrn (direction INPUT)) (port prn (direction INPUT)) (port q (direction OUTPUT)) ) ) ) (cell dffe (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port d (direction INPUT)) (port clk (direction INPUT)) (port clrn (direction INPUT)) (port prn (direction INPUT)) (port ena (direction INPUT)) (port q (direction OUTPUT)) ) ) ) (cell and2 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell and3 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port in3 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell nand2 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell nand3 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port in3 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell nand4 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port in3 (direction INPUT)) (port in4 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell nand6 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port in3 (direction INPUT)) (port in4 (direction INPUT)) (port in5 (direction INPUT)) (port in6 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell nor2 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell nor3 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port in3 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell nor4 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port in3 (direction INPUT)) (port in4 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell nor6 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port in3 (direction INPUT)) (port in4 (direction INPUT)) (port in5 (direction INPUT)) (port in6 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell nor8 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port in3 (direction INPUT)) (port in4 (direction INPUT)) (port in5 (direction INPUT)) (port in6 (direction INPUT)) (port in7 (direction INPUT)) (port in8 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell not (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell or2 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell xor (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell xnor (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port in1 (direction INPUT)) (port in2 (direction INPUT)) (port out (direction OUTPUT)) ) ) ) (cell sp1 (cellType GENERIC) (view VIEW (viewType NETLIST) (interface (port inst_0_ (direction INPUT)) (port inst_3_ (direction INPUT)) (port inst_2_ (direction INPUT)) (port inst_10_ (direction INPUT)) (port dto_6_ (direction OUTPUT)) (port inst_12_ (direction INPUT)) (port dti_1_ (direction INPUT)) (port inst_5_ (direction INPUT)) (port inst_1_ (direction INPUT)) (port memory_write (direction OUTPUT)) (port dti_2_ (direction INPUT)) (port dti_7_ (direction INPUT)) (port inst_7_ (direction INPUT)) (port inst_13_ (direction INPUT)) (port dti_0_ (direction INPUT)) (port inst_4_ (direction INPUT)) (port dti_5_ (direction INPUT)) (port m_clock (direction INPUT)) (port adrs_5_ (direction OUTPUT)) (port dti_4_ (direction INPUT)) (port iadrs_2_ (direction OUTPUT)) (port memory_read (direction OUTPUT)) (port dto_4_ (direction OUTPUT)) (port p_reset (direction INPUT)) (port inst_read (direction OUTPUT)) (port inst_6_ (direction INPUT)) (port adrs_4_ (direction OUTPUT)) (port dti_3_ (direction INPUT)) (port iadrs_1_ (direction OUTPUT)) (port dto_3_ (direction OUTPUT)) (port inst_15_ (direction INPUT)) (port adrs_3_ (direction OUTPUT)) (port iadrs_7_ (direction OUTPUT)) (port iadrs_0_ (direction OUTPUT)) (port dto_2_ (direction OUTPUT)) (port inst_14_ (direction INPUT)) (port adrs_2_ (direction OUTPUT)) (port iadrs_6_ (direction OUTPUT)) (port dto_1_ (direction OUTPUT)) (port adrs_1_ (direction OUTPUT)) (port iadrs_5_ (direction OUTPUT)) (port dto_7_ (direction OUTPUT)) (port dto_0_ (direction OUTPUT)) (port inst_9_ (direction INPUT)) (port adrs_7_ (direction OUTPUT)) (port extint (direction INPUT)) (port dti_6_ (direction INPUT)) (port adrs_0_ (direction OUTPUT)) (port iadrs_4_ (direction OUTPUT)) (port inst_8_ (direction INPUT)) (port adrs_6_ (direction OUTPUT)) (port inst_11_ (direction INPUT)) (port iadrs_3_ (direction OUTPUT)) (port dto_5_ (direction OUTPUT)) (port VDD (direction INPUT)) (port VSS (direction INPUT)) ) (contents (instance nor__4_28 (viewRef VIEW (cellRef nor4))) (instance nor__4_29 (viewRef VIEW (cellRef nor4))) (instance nor__2_30 (viewRef VIEW (cellRef nor2))) (instance nand__2_31 (viewRef VIEW (cellRef nand2))) (instance nand__2_32 (viewRef VIEW (cellRef nand2))) (instance nor__2_35 (viewRef VIEW (cellRef nor2))) (instance nand__4_36 (viewRef VIEW (cellRef nand4))) (instance nand__2_37 (viewRef VIEW (cellRef nand2))) (instance nand__3_38 (viewRef VIEW (cellRef nand3))) (instance nor__2_39 (viewRef VIEW (cellRef nor2))) (instance nor__2_41 (viewRef VIEW (cellRef nor2))) (instance nor__2_45 (viewRef VIEW (cellRef nor2))) (instance nand__4_46 (viewRef VIEW (cellRef nand4))) (instance nor__3_47 (viewRef VIEW (cellRef nor3))) (instance nand__2_48 (viewRef VIEW (cellRef nand2))) (instance nand__2_49 (viewRef VIEW (cellRef nand2))) (instance nand__2_51 (viewRef VIEW (cellRef nand2))) (instance nand__2_52 (viewRef VIEW (cellRef nand2))) (instance inv__54 (viewRef VIEW (cellRef not))) (instance inv__55 (viewRef VIEW (cellRef not))) (instance inv__56 (viewRef VIEW (cellRef not))) (instance inv__57 (viewRef VIEW (cellRef not))) (instance inv__58 (viewRef VIEW (cellRef not))) (instance inv__59 (viewRef VIEW (cellRef not))) (instance inv__60 (viewRef VIEW (cellRef not))) (instance inv__61 (viewRef VIEW (cellRef not))) (instance inv__62 (viewRef VIEW (cellRef not))) (instance inv__63 (viewRef VIEW (cellRef not))) (instance inv__64 (viewRef VIEW (cellRef not))) (instance oai__222_53_or1 (viewRef VIEW (cellRef or2))) (instance oai__222_53_or2 (viewRef VIEW (cellRef or2))) (instance oai__222_53_or3 (viewRef VIEW (cellRef or2))) (instance oai__222_53_nand (viewRef VIEW (cellRef nand3))) (instance aoi__21_50_and (viewRef VIEW (cellRef and2))) (instance aoi__21_50_nor (viewRef VIEW (cellRef nor2))) (instance aoi__31_33_and (viewRef VIEW (cellRef and3))) (instance aoi__31_33_nor (viewRef VIEW (cellRef nor2))) (instance sel_8_nand_6 (viewRef VIEW (cellRef nand2))) (instance sel_8_nand_5 (viewRef VIEW (cellRef nand2))) (instance sel_8_nand_4 (viewRef VIEW (cellRef nand2))) (instance sel_8_nand_3 (viewRef VIEW (cellRef nand2))) (instance sel_8_nand_2 (viewRef VIEW (cellRef nand2))) (instance sel_8_nand_1 (viewRef VIEW (cellRef nand2))) (instance sel_8_nor_2 (viewRef VIEW (cellRef nor2))) (instance sel_8_nor_1 (viewRef VIEW (cellRef nor2))) (instance aluo_nand_40 (viewRef VIEW (cellRef nand6))) (instance aluo_nand_39 (viewRef VIEW (cellRef nand4))) (instance aluo_nand_38 (viewRef VIEW (cellRef nand4))) (instance aluo_nand_37 (viewRef VIEW (cellRef nand4))) (instance aluo_nand_36 (viewRef VIEW (cellRef nand4))) (instance aluo_nand_35 (viewRef VIEW (cellRef nand4))) (instance aluo_nand_34 (viewRef VIEW (cellRef nand4))) (instance aluo_nand_33 (viewRef VIEW (cellRef nand3))) (instance aluo_nand_32 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_31 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_30 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_29 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_28 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_27 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_26 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_25 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_24 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_23 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_22 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_21 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_20 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_19 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_18 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_17 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_16 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_15 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_14 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_13 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_12 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_11 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_10 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_9 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_8 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_7 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_6 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_5 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_4 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_3 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_2 (viewRef VIEW (cellRef nand2))) (instance aluo_nand_1 (viewRef VIEW (cellRef nand2))) (instance dec_nor_3 (viewRef VIEW (cellRef nor2))) (instance dec_nor_2 (viewRef VIEW (cellRef nor2))) (instance dec_nor_1 (viewRef VIEW (cellRef nor2))) (instance dec_nand_3 (viewRef VIEW (cellRef nand2))) (instance dec_nand_2 (viewRef VIEW (cellRef nand2))) (instance dec_nand_1 (viewRef VIEW (cellRef nand2))) (instance dec_eor_3 (viewRef VIEW (cellRef xor))) (instance dec_eor_2 (viewRef VIEW (cellRef xor))) (instance dec_eor_1 (viewRef VIEW (cellRef xor))) (instance dec_enor_4 (viewRef VIEW (cellRef xnor))) (instance dec_enor_3 (viewRef VIEW (cellRef xnor))) (instance dec_enor_2 (viewRef VIEW (cellRef xnor))) (instance dec_enor_1 (viewRef VIEW (cellRef xnor))) (instance int__all_reg (viewRef VIEW (cellRef dff))) (instance int_intt_nor_2 (viewRef VIEW (cellRef nor2))) (instance int_intt_nor_1 (viewRef VIEW (cellRef nor2))) (instance int_intt_reg (viewRef VIEW (cellRef dff))) (instance pipectl__all_nor_1 (viewRef VIEW (cellRef nor2))) (instance pipectl__all_reg (viewRef VIEW (cellRef dff))) (instance counter__all_reg (viewRef VIEW (cellRef dff))) (instance if__all_nor_2 (viewRef VIEW (cellRef nor2))) (instance if__all_nor_1 (viewRef VIEW (cellRef nor2))) (instance if__all_reg (viewRef VIEW (cellRef dff))) (instance id__all_nor_2 (viewRef VIEW (cellRef nor2))) (instance id__all_nor_1 (viewRef VIEW (cellRef nor2))) (instance id__all_reg (viewRef VIEW (cellRef dff))) (instance id_idt_nor_2 (viewRef VIEW (cellRef nor2))) (instance id_idt_nor_1 (viewRef VIEW (cellRef nor2))) (instance id_idt_reg (viewRef VIEW (cellRef dff))) (instance exec__all_reg (viewRef VIEW (cellRef dff))) (instance mem__all_nor_2 (viewRef VIEW (cellRef nor2))) (instance mem__all_nor_1 (viewRef VIEW (cellRef nor2))) (instance mem__all_reg (viewRef VIEW (cellRef dff))) (instance wrb__all_reg (viewRef VIEW (cellRef dff))) (instance pc_reg7_reg (viewRef VIEW (cellRef dffe))) (instance pc_reg6_reg (viewRef VIEW (cellRef dffe))) (instance pc_reg5_reg (viewRef VIEW (cellRef dffe))) (instance pc_reg4_reg (viewRef VIEW (cellRef dffe))) (instance pc_reg3_reg (viewRef VIEW (cellRef dffe))) (instance pc_reg2_reg (viewRef VIEW (cellRef dffe))) (instance pc_reg1_reg (viewRef VIEW (cellRef dffe))) (instance pc_reg0_reg (viewRef VIEW (cellRef dffe))) (instance tpc_reg7_reg (viewRef VIEW (cellRef dffe))) (instance tpc_reg6_reg (viewRef VIEW (cellRef dffe))) (instance tpc_reg5_reg (viewRef VIEW (cellRef dffe))) (instance tpc_reg4_reg (viewRef VIEW (cellRef dffe))) (instance tpc_reg3_reg (viewRef VIEW (cellRef dffe))) (instance tpc_reg2_reg (viewRef VIEW (cellRef dffe))) (instance tpc_reg1_reg (viewRef VIEW (cellRef dffe))) (instance tpc_reg0_reg (viewRef VIEW (cellRef dffe))) (instance ir1_reg7_reg (viewRef VIEW (cellRef dffe))) (instance ir1_reg6_reg (viewRef VIEW (cellRef dffe))) (instance ir1_reg5_reg (viewRef VIEW (cellRef dffe))) (instance ir1_reg4_reg (viewRef VIEW (cellRef dffe))) (instance ir1_reg3_reg (viewRef VIEW (cellRef dffe))) (instance ir1_reg2_reg (viewRef VIEW (cellRef dffe))) (instance ir1_reg1_reg (viewRef VIEW (cellRef dffe))) (instance ir1_reg0_reg (viewRef VIEW (cellRef dffe))) (instance ir2_reg7_reg (viewRef VIEW (cellRef dffe))) (instance ir2_reg6_reg (viewRef VIEW (cellRef dffe))) (instance ir2_reg5_reg (viewRef VIEW (cellRef dffe))) (instance ir2_reg4_reg (viewRef VIEW (cellRef dffe))) (instance ir2_reg3_reg (viewRef VIEW (cellRef dffe))) (instance ir2_reg2_reg (viewRef VIEW (cellRef dffe))) (instance ir2_reg1_reg (viewRef VIEW (cellRef dffe))) (instance ir2_reg0_reg (viewRef VIEW (cellRef dffe))) (instance ir3_reg7_reg (viewRef VIEW (cellRef dffe))) (instance ir3_reg6_reg (viewRef VIEW (cellRef dffe))) (instance ir3_reg5_reg (viewRef VIEW (cellRef dffe))) (instance ir3_reg4_reg (viewRef VIEW (cellRef dffe))) (instance ir3_reg3_reg (viewRef VIEW (cellRef dffe))) (instance ir3_reg2_reg (viewRef VIEW (cellRef dffe))) (instance ir3_reg1_reg (viewRef VIEW (cellRef dffe))) (instance ir3_reg0_reg (viewRef VIEW (cellRef dffe))) (instance dpc_reg7_reg (viewRef VIEW (cellRef dffe))) (instance dpc_reg6_reg (viewRef VIEW (cellRef dffe))) (instance dpc_reg5_reg (viewRef VIEW (cellRef dffe))) (instance dpc_reg4_reg (viewRef VIEW (cellRef dffe))) (instance dpc_reg3_reg (viewRef VIEW (cellRef dffe))) (instance dpc_reg2_reg (viewRef VIEW (cellRef dffe))) (instance dpc_reg1_reg (viewRef VIEW (cellRef dffe))) (instance dpc_reg0_reg (viewRef VIEW (cellRef dffe))) (instance epc_reg7_reg (viewRef VIEW (cellRef dffe))) (instance epc_reg6_reg (viewRef VIEW (cellRef dffe))) (instance epc_reg5_reg (viewRef VIEW (cellRef dffe))) (instance epc_reg4_reg (viewRef VIEW (cellRef dffe))) (instance epc_reg3_reg (viewRef VIEW (cellRef dffe))) (instance epc_reg2_reg (viewRef VIEW (cellRef dffe))) (instance epc_reg1_reg (viewRef VIEW (cellRef dffe))) (instance epc_reg0_reg (viewRef VIEW (cellRef dffe))) (instance eop1_reg7_reg (viewRef VIEW (cellRef dffe))) (instance eop1_reg6_reg (viewRef VIEW (cellRef dffe))) (instance eop1_reg5_reg (viewRef VIEW (cellRef dffe))) (instance eop1_reg4_reg (viewRef VIEW (cellRef dffe))) (instance eop1_reg3_reg (viewRef VIEW (cellRef dffe))) (instance eop1_reg2_reg (viewRef VIEW (cellRef dffe))) (instance eop1_reg1_reg (viewRef VIEW (cellRef dffe))) (instance eop1_reg0_reg (viewRef VIEW (cellRef dffe))) (instance eop2_reg7_reg (viewRef VIEW (cellRef dffe))) (instance eop2_reg6_reg (viewRef VIEW (cellRef dffe))) (instance eop2_reg5_reg (viewRef VIEW (cellRef dffe))) (instance eop2_reg4_reg (viewRef VIEW (cellRef dffe))) (instance eop2_reg3_reg (viewRef VIEW (cellRef dffe))) (instance eop2_reg2_reg (viewRef VIEW (cellRef dffe))) (instance eop2_reg1_reg (viewRef VIEW (cellRef dffe))) (instance eop2_reg0_reg (viewRef VIEW (cellRef dffe))) (instance malu_reg7_reg (viewRef VIEW (cellRef dffe))) (instance malu_reg6_reg (viewRef VIEW (cellRef dffe))) (instance malu_reg5_reg (viewRef VIEW (cellRef dffe))) (instance malu_reg4_reg (viewRef VIEW (cellRef dffe))) (instance malu_reg3_reg (viewRef VIEW (cellRef dffe))) (instance malu_reg2_reg (viewRef VIEW (cellRef dffe))) (instance malu_reg1_reg (viewRef VIEW (cellRef dffe))) (instance malu_reg0_reg (viewRef VIEW (cellRef dffe))) (instance msrc_reg7_reg (viewRef VIEW (cellRef dffe))) (instance msrc_reg6_reg (viewRef VIEW (cellRef dffe))) (instance msrc_reg5_reg (viewRef VIEW (cellRef dffe))) (instance msrc_reg4_reg (viewRef VIEW (cellRef dffe))) (instance msrc_reg3_reg (viewRef VIEW (cellRef dffe))) (instance msrc_reg2_reg (viewRef VIEW (cellRef dffe))) (instance msrc_reg1_reg (viewRef VIEW (cellRef dffe))) (instance msrc_reg0_reg (viewRef VIEW (cellRef dffe))) (instance mar_reg7_reg (viewRef VIEW (cellRef dffe))) (instance mar_reg6_reg (viewRef VIEW (cellRef dffe))) (instance mar_reg5_reg (viewRef VIEW (cellRef dffe))) (instance mar_reg4_reg (viewRef VIEW (cellRef dffe))) (instance mar_reg3_reg (viewRef VIEW (cellRef dffe))) (instance mar_reg2_reg (viewRef VIEW (cellRef dffe))) (instance mar_reg1_reg (viewRef VIEW (cellRef dffe))) (instance mar_reg0_reg (viewRef VIEW (cellRef dffe))) (instance walu_reg7_reg (viewRef VIEW (cellRef dffe))) (instance walu_reg6_reg (viewRef VIEW (cellRef dffe))) (instance walu_reg5_reg (viewRef VIEW (cellRef dffe))) (instance walu_reg4_reg (viewRef VIEW (cellRef dffe))) (instance walu_reg3_reg (viewRef VIEW (cellRef dffe))) (instance walu_reg2_reg (viewRef VIEW (cellRef dffe))) (instance walu_reg1_reg (viewRef VIEW (cellRef dffe))) (instance walu_reg0_reg (viewRef VIEW (cellRef dffe))) (instance xalu_reg7_reg (viewRef VIEW (cellRef dffe))) (instance xalu_reg6_reg (viewRef VIEW (cellRef dffe))) (instance xalu_reg5_reg (viewRef VIEW (cellRef dffe))) (instance xalu_reg4_reg (viewRef VIEW (cellRef dffe))) (instance xalu_reg3_reg (viewRef VIEW (cellRef dffe))) (instance xalu_reg2_reg (viewRef VIEW (cellRef dffe))) (instance xalu_reg1_reg (viewRef VIEW (cellRef dffe))) (instance xalu_reg0_reg (viewRef VIEW (cellRef dffe))) (instance alusel_reg4_reg (viewRef VIEW (cellRef dffe))) (instance alusel_reg3_reg (viewRef VIEW (cellRef dffe))) (instance alusel_reg2_reg (viewRef VIEW (cellRef dffe))) (instance alusel_reg1_reg (viewRef VIEW (cellRef dffe))) (instance alusel_reg0_reg (viewRef VIEW (cellRef dffe))) (instance sel_6_nor_3 (viewRef VIEW (cellRef nor2))) (instance sel_6_nor_2 (viewRef VIEW (cellRef nor2))) (instance sel_6_nor_1 (viewRef VIEW (cellRef nor2))) (instance sel_7_nor_3 (viewRef VIEW (cellRef nor2))) (instance sel_7_nor_2 (viewRef VIEW (cellRef nor2))) (instance sel_7_nor_1 (viewRef VIEW (cellRef nor2))) (instance ru1_reg3_reg (viewRef VIEW (cellRef dffe))) (instance ru1_reg2_reg (viewRef VIEW (cellRef dffe))) (instance ru1_reg1_reg (viewRef VIEW (cellRef dffe))) (instance ru1_reg0_reg (viewRef VIEW (cellRef dffe))) (instance ru2_reg3_reg (viewRef VIEW (cellRef dffe))) (instance ru2_reg2_reg (viewRef VIEW (cellRef dffe))) (instance ru2_reg1_reg (viewRef VIEW (cellRef dffe))) (instance ru3_reg3_reg (viewRef VIEW (cellRef dffe))) (instance ru3_reg2_reg (viewRef VIEW (cellRef dffe))) (instance ru3_reg1_reg (viewRef VIEW (cellRef dffe))) (instance targetpc_nand_40 (viewRef VIEW (cellRef nand4))) (instance targetpc_nand_39 (viewRef VIEW (cellRef nand4))) (instance targetpc_nand_38 (viewRef VIEW (cellRef nand4))) (instance targetpc_nand_37 (viewRef VIEW (cellRef nand4))) (instance targetpc_nand_36 (viewRef VIEW (cellRef nand4))) (instance targetpc_nand_35 (viewRef VIEW (cellRef nand4))) (instance targetpc_nand_34 (viewRef VIEW (cellRef nand4))) (instance targetpc_nand_33 (viewRef VIEW (cellRef nand4))) (instance targetpc_nand_32 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_31 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_30 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_29 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_28 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_27 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_26 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_25 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_24 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_23 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_22 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_21 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_20 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_19 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_18 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_17 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_16 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_15 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_14 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_13 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_12 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_11 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_10 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_9 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_8 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_7 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_6 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_5 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_4 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_3 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_2 (viewRef VIEW (cellRef nand2))) (instance targetpc_nand_1 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_40 (viewRef VIEW (cellRef nand4))) (instance clain2_nand_39 (viewRef VIEW (cellRef nand4))) (instance clain2_nand_38 (viewRef VIEW (cellRef nand4))) (instance clain2_nand_37 (viewRef VIEW (cellRef nand4))) (instance clain2_nand_36 (viewRef VIEW (cellRef nand4))) (instance clain2_nand_35 (viewRef VIEW (cellRef nand4))) (instance clain2_nand_34 (viewRef VIEW (cellRef nand4))) (instance clain2_nand_33 (viewRef VIEW (cellRef nand4))) (instance clain2_nand_32 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_31 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_30 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_29 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_28 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_27 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_26 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_25 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_24 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_23 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_22 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_21 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_20 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_19 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_18 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_17 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_16 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_15 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_14 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_13 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_12 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_11 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_10 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_9 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_8 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_7 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_6 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_5 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_4 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_3 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_2 (viewRef VIEW (cellRef nand2))) (instance clain2_nand_1 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_40 (viewRef VIEW (cellRef nand4))) (instance er2f_nand_39 (viewRef VIEW (cellRef nand4))) (instance er2f_nand_38 (viewRef VIEW (cellRef nand4))) (instance er2f_nand_37 (viewRef VIEW (cellRef nand4))) (instance er2f_nand_36 (viewRef VIEW (cellRef nand4))) (instance er2f_nand_35 (viewRef VIEW (cellRef nand4))) (instance er2f_nand_34 (viewRef VIEW (cellRef nand4))) (instance er2f_nand_33 (viewRef VIEW (cellRef nand4))) (instance er2f_nand_32 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_31 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_30 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_29 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_28 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_27 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_26 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_25 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_24 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_23 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_22 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_21 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_20 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_19 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_18 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_17 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_16 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_15 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_14 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_13 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_12 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_11 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_10 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_9 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_8 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_7 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_6 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_5 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_4 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_3 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_2 (viewRef VIEW (cellRef nand2))) (instance er2f_nand_1 (viewRef VIEW (cellRef nand2))) (instance cla_inv_8 (viewRef VIEW (cellRef not))) (instance cla_inv_7 (viewRef VIEW (cellRef not))) (instance cla_inv_6 (viewRef VIEW (cellRef not))) (instance cla_inv_5 (viewRef VIEW (cellRef not))) (instance cla_inv_4 (viewRef VIEW (cellRef not))) (instance cla_inv_3 (viewRef VIEW (cellRef not))) (instance cla_inv_2 (viewRef VIEW (cellRef not))) (instance cla_inv_1 (viewRef VIEW (cellRef not))) (instance cla_nand_19 (viewRef VIEW (cellRef nand4))) (instance cla_nand_18 (viewRef VIEW (cellRef nand3))) (instance cla_nand_17 (viewRef VIEW (cellRef nand3))) (instance cla_nand_16 (viewRef VIEW (cellRef nand3))) (instance cla_nand_15 (viewRef VIEW (cellRef nand2))) (instance cla_nand_14 (viewRef VIEW (cellRef nand2))) (instance cla_nand_13 (viewRef VIEW (cellRef nand2))) (instance cla_nand_12 (viewRef VIEW (cellRef nand2))) (instance cla_nand_11 (viewRef VIEW (cellRef nand2))) (instance cla_nand_10 (viewRef VIEW (cellRef nand2))) (instance cla_nand_9 (viewRef VIEW (cellRef nand2))) (instance cla_nand_8 (viewRef VIEW (cellRef nand2))) (instance cla_nand_7 (viewRef VIEW (cellRef nand2))) (instance cla_nand_6 (viewRef VIEW (cellRef nand2))) (instance cla_nand_5 (viewRef VIEW (cellRef nand2))) (instance cla_nand_4 (viewRef VIEW (cellRef nand2))) (instance cla_nand_3 (viewRef VIEW (cellRef nand2))) (instance cla_nand_2 (viewRef VIEW (cellRef nand2))) (instance cla_nand_1 (viewRef VIEW (cellRef nand2))) (instance cla_nor_20 (viewRef VIEW (cellRef nor3))) (instance cla_nor_19 (viewRef VIEW (cellRef nor2))) (instance cla_nor_18 (viewRef VIEW (cellRef nor2))) (instance cla_nor_17 (viewRef VIEW (cellRef nor2))) (instance cla_nor_16 (viewRef VIEW (cellRef nor2))) (instance cla_nor_15 (viewRef VIEW (cellRef nor2))) (instance cla_nor_14 (viewRef VIEW (cellRef nor2))) (instance cla_nor_13 (viewRef VIEW (cellRef nor2))) (instance cla_nor_12 (viewRef VIEW (cellRef nor2))) (instance cla_nor_11 (viewRef VIEW (cellRef nor2))) (instance cla_nor_10 (viewRef VIEW (cellRef nor2))) (instance cla_nor_9 (viewRef VIEW (cellRef nor2))) (instance cla_nor_8 (viewRef VIEW (cellRef nor2))) (instance cla_nor_7 (viewRef VIEW (cellRef nor2))) (instance cla_nor_6 (viewRef VIEW (cellRef nor2))) (instance cla_nor_5 (viewRef VIEW (cellRef nor2))) (instance cla_nor_4 (viewRef VIEW (cellRef nor2))) (instance cla_nor_3 (viewRef VIEW (cellRef nor2))) (instance cla_nor_2 (viewRef VIEW (cellRef nor2))) (instance cla_nor_1 (viewRef VIEW (cellRef nor2))) (instance cla_enor_5 (viewRef VIEW (cellRef xnor))) (instance cla_enor_4 (viewRef VIEW (cellRef xnor))) (instance cla_enor_3 (viewRef VIEW (cellRef xnor))) (instance cla_enor_2 (viewRef VIEW (cellRef xnor))) (instance cla_enor_1 (viewRef VIEW (cellRef xnor))) (instance cla_eor_11 (viewRef VIEW (cellRef xor))) (instance cla_eor_10 (viewRef VIEW (cellRef xor))) (instance cla_eor_9 (viewRef VIEW (cellRef xor))) (instance cla_eor_8 (viewRef VIEW (cellRef xor))) (instance cla_eor_7 (viewRef VIEW (cellRef xor))) (instance cla_eor_6 (viewRef VIEW (cellRef xor))) (instance cla_eor_5 (viewRef VIEW (cellRef xor))) (instance cla_eor_4 (viewRef VIEW (cellRef xor))) (instance cla_eor_3 (viewRef VIEW (cellRef xor))) (instance cla_eor_2 (viewRef VIEW (cellRef xor))) (instance cla_eor_1 (viewRef VIEW (cellRef xor))) (instance if_1_reg (viewRef VIEW (cellRef dffe))) (instance if_0_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg15_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg14_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg13_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg12_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg11_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg10_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg7_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg6_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg5_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg4_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg3_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg2_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg1_reg (viewRef VIEW (cellRef dffe))) (instance eop_reg0_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg15_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg14_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg13_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg12_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg11_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg10_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg9_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg8_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg7_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg6_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg5_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg4_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg3_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg2_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg1_reg (viewRef VIEW (cellRef dffe))) (instance btb_reg0_reg (viewRef VIEW (cellRef dffe))) (instance mop_reg5_reg (viewRef VIEW (cellRef dffe))) (instance mop_reg4_reg (viewRef VIEW (cellRef dffe))) (instance mop_reg3_reg (viewRef VIEW (cellRef dffe))) (instance mop_reg2_reg (viewRef VIEW (cellRef dffe))) (instance mop_reg1_reg (viewRef VIEW (cellRef dffe))) (instance mop_reg0_reg (viewRef VIEW (cellRef dffe))) (instance op_reg15_reg (viewRef VIEW (cellRef dffe))) (instance op_reg14_reg (viewRef VIEW (cellRef dffe))) (instance op_reg13_reg (viewRef VIEW (cellRef dffe))) (instance op_reg12_reg (viewRef VIEW (cellRef dffe))) (instance op_reg11_reg (viewRef VIEW (cellRef dffe))) (instance op_reg10_reg (viewRef VIEW (cellRef dffe))) (instance op_reg9_reg (viewRef VIEW (cellRef dffe))) (instance op_reg8_reg (viewRef VIEW (cellRef dffe))) (instance op_reg7_reg (viewRef VIEW (cellRef dffe))) (instance op_reg6_reg (viewRef VIEW (cellRef dffe))) (instance op_reg5_reg (viewRef VIEW (cellRef dffe))) (instance op_reg4_reg (viewRef VIEW (cellRef dffe))) (instance op_reg3_reg (viewRef VIEW (cellRef dffe))) (instance op_reg2_reg (viewRef VIEW (cellRef dffe))) (instance op_reg1_reg (viewRef VIEW (cellRef dffe))) (instance op_reg0_reg (viewRef VIEW (cellRef dffe))) (instance nand_70_nand_1 (viewRef VIEW (cellRef nand6))) (instance sel_17_nand_18 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_17 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_16 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_15 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_14 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_13 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_12 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_11 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_10 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_9 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_8 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_7 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_6 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_5 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_4 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_3 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_2 (viewRef VIEW (cellRef nand2))) (instance sel_17_nand_1 (viewRef VIEW (cellRef nand2))) (instance nor_45_nor_1 (viewRef VIEW (cellRef nor6))) (instance gr_nor_11 (viewRef VIEW (cellRef nor3))) (instance gr_nor_10 (viewRef VIEW (cellRef nor3))) (instance gr_nor_9 (viewRef VIEW (cellRef nor3))) (instance gr_nor_8 (viewRef VIEW (cellRef nor3))) (instance gr_nor_7 (viewRef VIEW (cellRef nor2))) (instance gr_nor_6 (viewRef VIEW (cellRef nor2))) (instance gr_nor_5 (viewRef VIEW (cellRef nor2))) (instance gr_nor_4 (viewRef VIEW (cellRef nor2))) (instance gr_nor_3 (viewRef VIEW (cellRef nor2))) (instance gr_nor_2 (viewRef VIEW (cellRef nor2))) (instance gr_nor_1 (viewRef VIEW (cellRef nor2))) (instance gr_nand_80 (viewRef VIEW (cellRef nand4))) (instance gr_nand_79 (viewRef VIEW (cellRef nand4))) (instance gr_nand_78 (viewRef VIEW (cellRef nand4))) (instance gr_nand_77 (viewRef VIEW (cellRef nand4))) (instance gr_nand_76 (viewRef VIEW (cellRef nand4))) (instance gr_nand_75 (viewRef VIEW (cellRef nand4))) (instance gr_nand_74 (viewRef VIEW (cellRef nand4))) (instance gr_nand_73 (viewRef VIEW (cellRef nand4))) (instance gr_nand_72 (viewRef VIEW (cellRef nand4))) (instance gr_nand_71 (viewRef VIEW (cellRef nand4))) (instance gr_nand_70 (viewRef VIEW (cellRef nand4))) (instance gr_nand_69 (viewRef VIEW (cellRef nand4))) (instance gr_nand_68 (viewRef VIEW (cellRef nand4))) (instance gr_nand_67 (viewRef VIEW (cellRef nand4))) (instance gr_nand_66 (viewRef VIEW (cellRef nand4))) (instance gr_nand_65 (viewRef VIEW (cellRef nand4))) (instance gr_nand_64 (viewRef VIEW (cellRef nand2))) (instance gr_nand_63 (viewRef VIEW (cellRef nand2))) (instance gr_nand_62 (viewRef VIEW (cellRef nand2))) (instance gr_nand_61 (viewRef VIEW (cellRef nand2))) (instance gr_nand_60 (viewRef VIEW (cellRef nand2))) (instance gr_nand_59 (viewRef VIEW (cellRef nand2))) (instance gr_nand_58 (viewRef VIEW (cellRef nand2))) (instance gr_nand_57 (viewRef VIEW (cellRef nand2))) (instance gr_nand_56 (viewRef VIEW (cellRef nand2))) (instance gr_nand_55 (viewRef VIEW (cellRef nand2))) (instance gr_nand_54 (viewRef VIEW (cellRef nand2))) (instance gr_nand_53 (viewRef VIEW (cellRef nand2))) (instance gr_nand_52 (viewRef VIEW (cellRef nand2))) (instance gr_nand_51 (viewRef VIEW (cellRef nand2))) (instance gr_nand_50 (viewRef VIEW (cellRef nand2))) (instance gr_nand_49 (viewRef VIEW (cellRef nand2))) (instance gr_nand_48 (viewRef VIEW (cellRef nand2))) (instance gr_nand_47 (viewRef VIEW (cellRef nand2))) (instance gr_nand_46 (viewRef VIEW (cellRef nand2))) (instance gr_nand_45 (viewRef VIEW (cellRef nand2))) (instance gr_nand_44 (viewRef VIEW (cellRef nand2))) (instance gr_nand_43 (viewRef VIEW (cellRef nand2))) (instance gr_nand_42 (viewRef VIEW (cellRef nand2))) (instance gr_nand_41 (viewRef VIEW (cellRef nand2))) (instance gr_nand_40 (viewRef VIEW (cellRef nand2))) (instance gr_nand_39 (viewRef VIEW (cellRef nand2))) (instance gr_nand_38 (viewRef VIEW (cellRef nand2))) (instance gr_nand_37 (viewRef VIEW (cellRef nand2))) (instance gr_nand_36 (viewRef VIEW (cellRef nand2))) (instance gr_nand_35 (viewRef VIEW (cellRef nand2))) (instance gr_nand_34 (viewRef VIEW (cellRef nand2))) (instance gr_nand_33 (viewRef VIEW (cellRef nand2))) (instance gr_nand_32 (viewRef VIEW (cellRef nand2))) (instance gr_nand_31 (viewRef VIEW (cellRef nand2))) (instance gr_nand_30 (viewRef VIEW (cellRef nand2))) (instance gr_nand_29 (viewRef VIEW (cellRef nand2))) (instance gr_nand_28 (viewRef VIEW (cellRef nand2))) (instance gr_nand_27 (viewRef VIEW (cellRef nand2))) (instance gr_nand_26 (viewRef VIEW (cellRef nand2))) (instance gr_nand_25 (viewRef VIEW (cellRef nand2))) (instance gr_nand_24 (viewRef VIEW (cellRef nand2))) (instance gr_nand_23 (viewRef VIEW (cellRef nand2))) (instance gr_nand_22 (viewRef VIEW (cellRef nand2))) (instance gr_nand_21 (viewRef VIEW (cellRef nand2))) (instance gr_nand_20 (viewRef VIEW (cellRef nand2))) (instance gr_nand_19 (viewRef VIEW (cellRef nand2))) (instance gr_nand_18 (viewRef VIEW (cellRef nand2))) (instance gr_nand_17 (viewRef VIEW (cellRef nand2))) (instance gr_nand_16 (viewRef VIEW (cellRef nand2))) (instance gr_nand_15 (viewRef VIEW (cellRef nand2))) (instance gr_nand_14 (viewRef VIEW (cellRef nand2))) (instance gr_nand_13 (viewRef VIEW (cellRef nand2))) (instance gr_nand_12 (viewRef VIEW (cellRef nand2))) (instance gr_nand_11 (viewRef VIEW (cellRef nand2))) (instance gr_nand_10 (viewRef VIEW (cellRef nand2))) (instance gr_nand_9 (viewRef VIEW (cellRef nand2))) (instance gr_nand_8 (viewRef VIEW (cellRef nand2))) (instance gr_nand_7 (viewRef VIEW (cellRef nand2))) (instance gr_nand_6 (viewRef VIEW (cellRef nand2))) (instance gr_nand_5 (viewRef VIEW (cellRef nand2))) (instance gr_nand_4 (viewRef VIEW (cellRef nand2))) (instance gr_nand_3 (viewRef VIEW (cellRef nand2))) (instance gr_nand_2 (viewRef VIEW (cellRef nand2))) (instance gr_nand_1 (viewRef VIEW (cellRef nand2))) (instance gr_r3_reg0_reg (viewRef VIEW (cellRef dffe))) (instance gr_r3_reg1_reg (viewRef VIEW (cellRef dffe))) (instance gr_r3_reg2_reg (viewRef VIEW (cellRef dffe))) (instance gr_r3_reg3_reg (viewRef VIEW (cellRef dffe))) (instance gr_r3_reg4_reg (viewRef VIEW (cellRef dffe))) (instance gr_r3_reg5_reg (viewRef VIEW (cellRef dffe))) (instance gr_r3_reg6_reg (viewRef VIEW (cellRef dffe))) (instance gr_r3_reg7_reg (viewRef VIEW (cellRef dffe))) (instance gr_r2_reg0_reg (viewRef VIEW (cellRef dffe))) (instance gr_r2_reg1_reg (viewRef VIEW (cellRef dffe))) (instance gr_r2_reg2_reg (viewRef VIEW (cellRef dffe))) (instance gr_r2_reg3_reg (viewRef VIEW (cellRef dffe))) (instance gr_r2_reg4_reg (viewRef VIEW (cellRef dffe))) (instance gr_r2_reg5_reg (viewRef VIEW (cellRef dffe))) (instance gr_r2_reg6_reg (viewRef VIEW (cellRef dffe))) (instance gr_r2_reg7_reg (viewRef VIEW (cellRef dffe))) (instance gr_r1_reg0_reg (viewRef VIEW (cellRef dffe))) (instance gr_r1_reg1_reg (viewRef VIEW (cellRef dffe))) (instance gr_r1_reg2_reg (viewRef VIEW (cellRef dffe))) (instance gr_r1_reg3_reg (viewRef VIEW (cellRef dffe))) (instance gr_r1_reg4_reg (viewRef VIEW (cellRef dffe))) (instance gr_r1_reg5_reg (viewRef VIEW (cellRef dffe))) (instance gr_r1_reg6_reg (viewRef VIEW (cellRef dffe))) (instance gr_r1_reg7_reg (viewRef VIEW (cellRef dffe))) (instance gr_r0_reg0_reg (viewRef VIEW (cellRef dffe))) (instance gr_r0_reg1_reg (viewRef VIEW (cellRef dffe))) (instance gr_r0_reg2_reg (viewRef VIEW (cellRef dffe))) (instance gr_r0_reg3_reg (viewRef VIEW (cellRef dffe))) (instance gr_r0_reg4_reg (viewRef VIEW (cellRef dffe))) (instance gr_r0_reg5_reg (viewRef VIEW (cellRef dffe))) (instance gr_r0_reg6_reg (viewRef VIEW (cellRef dffe))) (instance gr_r0_reg7_reg (viewRef VIEW (cellRef dffe))) (instance st1_reg (viewRef VIEW (cellRef dffe))) (instance st2_reg (viewRef VIEW (cellRef dffe))) (instance clasel1_reg3_reg (viewRef VIEW (cellRef dffe))) (instance clasel1_reg2_reg (viewRef VIEW (cellRef dffe))) (instance clasel1_reg1_reg (viewRef VIEW (cellRef dffe))) (instance clasel1_reg0_reg (viewRef VIEW (cellRef dffe))) (instance clasel2_reg3_reg (viewRef VIEW (cellRef dffe))) (instance clasel2_reg2_reg (viewRef VIEW (cellRef dffe))) (instance clasel2_reg1_reg (viewRef VIEW (cellRef dffe))) (instance clasel2_reg0_reg (viewRef VIEW (cellRef dffe))) (instance wop_reg1_reg (viewRef VIEW (cellRef dffe))) (instance wop_reg0_reg (viewRef VIEW (cellRef dffe))) (instance dtif_reg1_reg (viewRef VIEW (cellRef dffe))) (instance dtif_reg0_reg (viewRef VIEW (cellRef dffe))) (instance etif_reg1_reg (viewRef VIEW (cellRef dffe))) (instance etif_reg0_reg (viewRef VIEW (cellRef dffe))) (instance st0_reg (viewRef VIEW (cellRef dffe))) (instance inten_reg (viewRef VIEW (cellRef dffe))) (instance cnten_reg (viewRef VIEW (cellRef dffe))) (instance cntintflag_reg (viewRef VIEW (cellRef dffe))) (instance eforcenop_reg (viewRef VIEW (cellRef dffe))) (instance ntif_reg (viewRef VIEW (cellRef dffe))) (instance btbv_reg (viewRef VIEW (cellRef dffe))) (instance streq_reg (viewRef VIEW (cellRef dffe))) (instance sel_1_nand_24 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_23 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_22 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_21 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_20 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_19 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_18 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_17 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_16 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_15 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_14 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_13 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_12 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_11 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_10 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_9 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_8 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_7 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_6 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_5 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_4 (viewRef VIEW (cellRef nand2))) (instance sel_9_nor_1 (viewRef VIEW (cellRef nor2))) (instance sel_10_nand_2 (viewRef VIEW (cellRef nand2))) (instance sel_10_nand_1 (viewRef VIEW (cellRef nand2))) (instance sel_12_nand_1 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_24 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_23 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_22 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_21 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_20 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_19 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_18 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_17 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_16 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_15 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_14 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_13 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_12 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_11 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_10 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_9 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_8 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_7 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_6 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_5 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_4 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_3 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_2 (viewRef VIEW (cellRef nand2))) (instance sel_4_nand_1 (viewRef VIEW (cellRef nand2))) (instance sel_11_inv_1 (viewRef VIEW (cellRef not))) (instance sel_11_nand_23 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_22 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_21 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_20 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_19 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_18 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_17 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_16 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_15 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_14 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_13 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_12 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_11 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_10 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_9 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_8 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_7 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_6 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_5 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_4 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_3 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_2 (viewRef VIEW (cellRef nand2))) (instance sel_11_nand_1 (viewRef VIEW (cellRef nand2))) (instance sel_11_nor_1 (viewRef VIEW (cellRef nor2))) (instance sel_13_nand_24 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_23 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_22 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_21 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_20 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_19 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_18 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_17 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_16 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_15 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_14 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_13 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_12 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_11 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_10 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_9 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_8 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_7 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_6 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_5 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_4 (viewRef VIEW (cellRef nand2))) (instance dtop2_nand_8 (viewRef VIEW (cellRef nand2))) (instance dtop2_nand_7 (viewRef VIEW (cellRef nand2))) (instance dtop2_nand_6 (viewRef VIEW (cellRef nand2))) (instance dtop2_nand_5 (viewRef VIEW (cellRef nand2))) (instance dtop2_nand_4 (viewRef VIEW (cellRef nand2))) (instance dtop2_nand_3 (viewRef VIEW (cellRef nand2))) (instance dtop2_nand_2 (viewRef VIEW (cellRef nand2))) (instance dtop2_nand_1 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_24 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_23 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_22 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_21 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_20 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_19 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_18 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_17 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_16 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_15 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_14 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_13 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_12 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_11 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_10 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_9 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_8 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_7 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_6 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_5 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_4 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_3 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_2 (viewRef VIEW (cellRef nand2))) (instance sel_18_nand_1 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_24 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_23 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_22 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_21 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_20 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_19 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_18 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_17 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_16 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_15 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_14 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_13 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_12 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_11 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_10 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_9 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_8 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_7 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_6 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_5 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_4 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_3 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_2 (viewRef VIEW (cellRef nand2))) (instance clain1_nand_1 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_24 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_23 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_22 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_21 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_20 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_19 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_18 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_17 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_16 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_15 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_14 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_13 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_12 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_11 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_10 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_9 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_8 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_7 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_6 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_5 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_4 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_3 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_2 (viewRef VIEW (cellRef nand2))) (instance ealu_nand_1 (viewRef VIEW (cellRef nand2))) (instance inc_nor_3 (viewRef VIEW (cellRef nor2))) (instance inc_nor_2 (viewRef VIEW (cellRef nor2))) (instance inc_nor_1 (viewRef VIEW (cellRef nor2))) (instance inc_nand_3 (viewRef VIEW (cellRef nand2))) (instance inc_nand_2 (viewRef VIEW (cellRef nand2))) (instance inc_nand_1 (viewRef VIEW (cellRef nand2))) (instance inc_enor_3 (viewRef VIEW (cellRef xnor))) (instance inc_enor_2 (viewRef VIEW (cellRef xnor))) (instance inc_enor_1 (viewRef VIEW (cellRef xnor))) (instance inc_eor_4 (viewRef VIEW (cellRef xor))) (instance inc_eor_3 (viewRef VIEW (cellRef xor))) (instance inc_eor_2 (viewRef VIEW (cellRef xor))) (instance inc_eor_1 (viewRef VIEW (cellRef xor))) (instance sel_20_nand_52 (viewRef VIEW (cellRef nand6))) (instance sel_20_nand_51 (viewRef VIEW (cellRef nand6))) (instance sel_20_nand_50 (viewRef VIEW (cellRef nand6))) (instance sel_20_nand_49 (viewRef VIEW (cellRef nand6))) (instance sel_20_nand_48 (viewRef VIEW (cellRef nand6))) (instance sel_20_nand_47 (viewRef VIEW (cellRef nand6))) (instance sel_20_nand_46 (viewRef VIEW (cellRef nand6))) (instance sel_20_nand_45 (viewRef VIEW (cellRef nand6))) (instance sel_20_nand_44 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_43 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_42 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_41 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_40 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_39 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_38 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_37 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_36 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_35 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_34 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_33 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_32 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_31 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_30 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_29 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_28 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_27 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_26 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_25 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_24 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_23 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_22 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_21 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_20 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_19 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_18 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_17 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_16 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_15 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_14 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_13 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_12 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_11 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_10 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_9 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_8 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_7 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_6 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_5 (viewRef VIEW (cellRef nand2))) (instance eor_1 (viewRef VIEW (cellRef xor))) (instance eor_2 (viewRef VIEW (cellRef xor))) (instance eor_3 (viewRef VIEW (cellRef xor))) (instance eor_4 (viewRef VIEW (cellRef xor))) (instance eor_5 (viewRef VIEW (cellRef xor))) (instance eor_6 (viewRef VIEW (cellRef xor))) (instance eor_7 (viewRef VIEW (cellRef xor))) (instance eor_8 (viewRef VIEW (cellRef xor))) (instance eor_9 (viewRef VIEW (cellRef xor))) (instance eor_10 (viewRef VIEW (cellRef xor))) (instance eor_11 (viewRef VIEW (cellRef xor))) (instance eor_12 (viewRef VIEW (cellRef xor))) (instance eor_13 (viewRef VIEW (cellRef xor))) (instance eor_14 (viewRef VIEW (cellRef xor))) (instance eor_15 (viewRef VIEW (cellRef xor))) (instance eor_16 (viewRef VIEW (cellRef xor))) (instance eor_17 (viewRef VIEW (cellRef xor))) (instance eor_18 (viewRef VIEW (cellRef xor))) (instance eor_19 (viewRef VIEW (cellRef xor))) (instance eor_20 (viewRef VIEW (cellRef xor))) (instance eor_21 (viewRef VIEW (cellRef xor))) (instance eor_22 (viewRef VIEW (cellRef xor))) (instance eor_23 (viewRef VIEW (cellRef xor))) (instance eor_24 (viewRef VIEW (cellRef xor))) (instance enor_1 (viewRef VIEW (cellRef xnor))) (instance enor_2 (viewRef VIEW (cellRef xnor))) (instance enor_3 (viewRef VIEW (cellRef xnor))) (instance enor_4 (viewRef VIEW (cellRef xnor))) (instance enor_5 (viewRef VIEW (cellRef xnor))) (instance enor_6 (viewRef VIEW (cellRef xnor))) (instance enor_7 (viewRef VIEW (cellRef xnor))) (instance enor_8 (viewRef VIEW (cellRef xnor))) (instance enor_9 (viewRef VIEW (cellRef xnor))) (instance enor_10 (viewRef VIEW (cellRef xnor))) (instance enor_11 (viewRef VIEW (cellRef xnor))) (instance enor_12 (viewRef VIEW (cellRef xnor))) (instance nor_1 (viewRef VIEW (cellRef nor2))) (instance nor_2 (viewRef VIEW (cellRef nor2))) (instance nor_3 (viewRef VIEW (cellRef nor2))) (instance nor_5 (viewRef VIEW (cellRef nor2))) (instance nor_7 (viewRef VIEW (cellRef nor2))) (instance nor_12 (viewRef VIEW (cellRef nor2))) (instance nor_13 (viewRef VIEW (cellRef nor2))) (instance nor_14 (viewRef VIEW (cellRef nor2))) (instance nor_15 (viewRef VIEW (cellRef nor2))) (instance nor_16 (viewRef VIEW (cellRef nor2))) (instance nor_17 (viewRef VIEW (cellRef nor2))) (instance nor_18 (viewRef VIEW (cellRef nor2))) (instance nor_19 (viewRef VIEW (cellRef nor2))) (instance nor_20 (viewRef VIEW (cellRef nor2))) (instance nor_21 (viewRef VIEW (cellRef nor2))) (instance nor_22 (viewRef VIEW (cellRef nor2))) (instance nor_23 (viewRef VIEW (cellRef nor2))) (instance nor_24 (viewRef VIEW (cellRef nor2))) (instance nor_25 (viewRef VIEW (cellRef nor2))) (instance nor_28 (viewRef VIEW (cellRef nor2))) (instance nor_29 (viewRef VIEW (cellRef nor2))) (instance nor_30 (viewRef VIEW (cellRef nor2))) (instance nor_32 (viewRef VIEW (cellRef nor2))) (instance nor_33 (viewRef VIEW (cellRef nor3))) (instance nor_34 (viewRef VIEW (cellRef nor3))) (instance nor_35 (viewRef VIEW (cellRef nor3))) (instance nor_36 (viewRef VIEW (cellRef nor3))) (instance nor_37 (viewRef VIEW (cellRef nor3))) (instance nor_39 (viewRef VIEW (cellRef nor3))) (instance nor_40 (viewRef VIEW (cellRef nor3))) (instance nor_41 (viewRef VIEW (cellRef nor3))) (instance nor_46 (viewRef VIEW (cellRef nor3))) (instance nor_47 (viewRef VIEW (cellRef nor3))) (instance nor_48 (viewRef VIEW (cellRef nor3))) (instance nor_49 (viewRef VIEW (cellRef nor3))) (instance nor_50 (viewRef VIEW (cellRef nor3))) (instance nor_51 (viewRef VIEW (cellRef nor3))) (instance nor_52 (viewRef VIEW (cellRef nor3))) (instance nor_53 (viewRef VIEW (cellRef nor3))) (instance nor_54 (viewRef VIEW (cellRef nor3))) (instance nor_55 (viewRef VIEW (cellRef nor3))) (instance nor_56 (viewRef VIEW (cellRef nor3))) (instance nor_57 (viewRef VIEW (cellRef nor4))) (instance nor_58 (viewRef VIEW (cellRef nor4))) (instance nor_59 (viewRef VIEW (cellRef nor4))) (instance nor_60 (viewRef VIEW (cellRef nor4))) (instance nor_61 (viewRef VIEW (cellRef nor4))) (instance nor_62 (viewRef VIEW (cellRef nor4))) (instance nor_63 (viewRef VIEW (cellRef nor4))) (instance nor_65 (viewRef VIEW (cellRef nor8))) (instance nor_66 (viewRef VIEW (cellRef nor8))) (instance nor_67 (viewRef VIEW (cellRef nor8))) (instance nand_1 (viewRef VIEW (cellRef nand2))) (instance nand_2 (viewRef VIEW (cellRef nand2))) (instance nand_3 (viewRef VIEW (cellRef nand2))) (instance nand_4 (viewRef VIEW (cellRef nand2))) (instance nand_5 (viewRef VIEW (cellRef nand2))) (instance nand_6 (viewRef VIEW (cellRef nand2))) (instance nand_7 (viewRef VIEW (cellRef nand2))) (instance nand_8 (viewRef VIEW (cellRef nand2))) (instance nand_9 (viewRef VIEW (cellRef nand2))) (instance nand_10 (viewRef VIEW (cellRef nand2))) (instance nand_16 (viewRef VIEW (cellRef nand2))) (instance nand_17 (viewRef VIEW (cellRef nand2))) (instance nand_18 (viewRef VIEW (cellRef nand2))) (instance nand_23 (viewRef VIEW (cellRef nand2))) (instance nand_24 (viewRef VIEW (cellRef nand2))) (instance nand_25 (viewRef VIEW (cellRef nand2))) (instance nand_26 (viewRef VIEW (cellRef nand2))) (instance nand_27 (viewRef VIEW (cellRef nand2))) (instance nand_28 (viewRef VIEW (cellRef nand2))) (instance nand_29 (viewRef VIEW (cellRef nand2))) (instance nand_30 (viewRef VIEW (cellRef nand2))) (instance nand_31 (viewRef VIEW (cellRef nand2))) (instance nand_32 (viewRef VIEW (cellRef nand2))) (instance nand_37 (viewRef VIEW (cellRef nand2))) (instance nand_38 (viewRef VIEW (cellRef nand2))) (instance nand_42 (viewRef VIEW (cellRef nand3))) (instance nand_43 (viewRef VIEW (cellRef nand3))) (instance nand_44 (viewRef VIEW (cellRef nand3))) (instance nand_45 (viewRef VIEW (cellRef nand3))) (instance nand_46 (viewRef VIEW (cellRef nand3))) (instance nand_47 (viewRef VIEW (cellRef nand3))) (instance nand_51 (viewRef VIEW (cellRef nand3))) (instance nand_52 (viewRef VIEW (cellRef nand3))) (instance nand_53 (viewRef VIEW (cellRef nand3))) (instance nand_54 (viewRef VIEW (cellRef nand3))) (instance nand_55 (viewRef VIEW (cellRef nand3))) (instance nand_57 (viewRef VIEW (cellRef nand3))) (instance nand_59 (viewRef VIEW (cellRef nand4))) (instance nand_62 (viewRef VIEW (cellRef nand4))) (instance nand_63 (viewRef VIEW (cellRef nand4))) (instance nand_64 (viewRef VIEW (cellRef nand4))) (instance inv_1 (viewRef VIEW (cellRef not))) (instance inv_2 (viewRef VIEW (cellRef not))) (instance inv_3 (viewRef VIEW (cellRef not))) (instance inv_5 (viewRef VIEW (cellRef not))) (instance inv_6 (viewRef VIEW (cellRef not))) (instance inv_7 (viewRef VIEW (cellRef not))) (instance inv_8 (viewRef VIEW (cellRef not))) (instance inv_9 (viewRef VIEW (cellRef not))) (instance inv_10 (viewRef VIEW (cellRef not))) (instance inv_11 (viewRef VIEW (cellRef not))) (instance inv_12 (viewRef VIEW (cellRef not))) (instance inv_13 (viewRef VIEW (cellRef not))) (instance inv_14 (viewRef VIEW (cellRef not))) (instance inv_15 (viewRef VIEW (cellRef not))) (instance inv_16 (viewRef VIEW (cellRef not))) (instance inv_17 (viewRef VIEW (cellRef not))) (instance inv_18 (viewRef VIEW (cellRef not))) (instance inv_19 (viewRef VIEW (cellRef not))) (instance inv_20 (viewRef VIEW (cellRef not))) (instance inv_21 (viewRef VIEW (cellRef not))) (instance inv_22 (viewRef VIEW (cellRef not))) (instance inv_23 (viewRef VIEW (cellRef not))) (instance inv_24 (viewRef VIEW (cellRef not))) (instance inv_25 (viewRef VIEW (cellRef not))) (instance inv_26 (viewRef VIEW (cellRef not))) (instance inv_27 (viewRef VIEW (cellRef not))) (instance inv_28 (viewRef VIEW (cellRef not))) (instance inv_29 (viewRef VIEW (cellRef not))) (instance inv_30 (viewRef VIEW (cellRef not))) (instance inv_31 (viewRef VIEW (cellRef not))) (instance inv_32 (viewRef VIEW (cellRef not))) (instance inv_33 (viewRef VIEW (cellRef not))) (instance inv_34 (viewRef VIEW (cellRef not))) (instance inv_35 (viewRef VIEW (cellRef not))) (instance inv_36 (viewRef VIEW (cellRef not))) (instance inv_37 (viewRef VIEW (cellRef not))) (instance inv_41 (viewRef VIEW (cellRef not))) (instance inv_43 (viewRef VIEW (cellRef not))) (instance inv_44 (viewRef VIEW (cellRef not))) (instance inv_45 (viewRef VIEW (cellRef not))) (instance inv_51 (viewRef VIEW (cellRef not))) (instance inv_52 (viewRef VIEW (cellRef not))) (instance inv_55 (viewRef VIEW (cellRef not))) (instance inv_56 (viewRef VIEW (cellRef not))) (instance inv_57 (viewRef VIEW (cellRef not))) (instance inv_58 (viewRef VIEW (cellRef not))) (instance inv_59 (viewRef VIEW (cellRef not))) (instance inv_60 (viewRef VIEW (cellRef not))) (instance inv_61 (viewRef VIEW (cellRef not))) (instance inv_62 (viewRef VIEW (cellRef not))) (instance inv_63 (viewRef VIEW (cellRef not))) (instance inv_64 (viewRef VIEW (cellRef not))) (instance inv_65 (viewRef VIEW (cellRef not))) (instance inv_66 (viewRef VIEW (cellRef not))) (instance inv_67 (viewRef VIEW (cellRef not))) (instance inv_68 (viewRef VIEW (cellRef not))) (instance inv_69 (viewRef VIEW (cellRef not))) (instance inv_70 (viewRef VIEW (cellRef not))) (instance inv_71 (viewRef VIEW (cellRef not))) (instance inv_72 (viewRef VIEW (cellRef not))) (instance inv_73 (viewRef VIEW (cellRef not))) (instance inv_74 (viewRef VIEW (cellRef not))) (instance inv_75 (viewRef VIEW (cellRef not))) (instance inv_76 (viewRef VIEW (cellRef not))) (instance inv_77 (viewRef VIEW (cellRef not))) (instance inv_78 (viewRef VIEW (cellRef not))) (instance inv_79 (viewRef VIEW (cellRef not))) (instance inv_80 (viewRef VIEW (cellRef not))) (instance inv_81 (viewRef VIEW (cellRef not))) (instance inv_82 (viewRef VIEW (cellRef not))) (instance inv_83 (viewRef VIEW (cellRef not))) (instance inv_86 (viewRef VIEW (cellRef not))) (instance inv_93 (viewRef VIEW (cellRef not))) (instance inv_97 (viewRef VIEW (cellRef not))) (instance inv_98 (viewRef VIEW (cellRef not))) (instance inv_99 (viewRef VIEW (cellRef not))) (instance inv_100 (viewRef VIEW (cellRef not))) (instance inv_102 (viewRef VIEW (cellRef not))) (instance inv_103 (viewRef VIEW (cellRef not))) (instance inv_104 (viewRef VIEW (cellRef not))) (instance nor_6 (viewRef VIEW (cellRef nor2))) (instance sel_1_nand_1 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_2 (viewRef VIEW (cellRef nand2))) (instance nand_40 (viewRef VIEW (cellRef nand2))) (instance inv_38 (viewRef VIEW (cellRef not))) (instance sel_13_nand_3 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_1 (viewRef VIEW (cellRef nand2))) (instance sel_13_nand_2 (viewRef VIEW (cellRef nand2))) (instance sel_1_nand_3 (viewRef VIEW (cellRef nand2))) (instance nor_4 (viewRef VIEW (cellRef nor2))) (instance nand_15 (viewRef VIEW (cellRef nand2))) (instance inv_89 (viewRef VIEW (cellRef not))) (instance counter__all_nor_1 (viewRef VIEW (cellRef nor2))) (instance inv_4 (viewRef VIEW (cellRef not))) (instance inv_84 (viewRef VIEW (cellRef not))) (instance nand_39 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_3 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_2 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_4 (viewRef VIEW (cellRef nand2))) (instance sel_20_nand_1 (viewRef VIEW (cellRef nand2))) (instance inv_47 (viewRef VIEW (cellRef not))) (instance nor_9 (viewRef VIEW (cellRef nor2))) (instance inv_48 (viewRef VIEW (cellRef not))) (instance nand_12 (viewRef VIEW (cellRef nand2))) (instance nand_11 (viewRef VIEW (cellRef nand2))) (instance nand_48 (viewRef VIEW (cellRef nand3))) (instance inv_46 (viewRef VIEW (cellRef not))) (instance nor_8 (viewRef VIEW (cellRef nor2))) (instance inv_87 (viewRef VIEW (cellRef not))) (instance nor_38 (viewRef VIEW (cellRef nor3))) (instance inv_101 (viewRef VIEW (cellRef not))) (instance nand_19 (viewRef VIEW (cellRef nand2))) (instance inv_85 (viewRef VIEW (cellRef not))) (instance inv_88 (viewRef VIEW (cellRef not))) (instance nand_14 (viewRef VIEW (cellRef nand2))) (instance nand_13 (viewRef VIEW (cellRef nand2))) (net NET1 (joined (portRef in2 (instanceRef nand__2_32)) (portRef in2 (instanceRef nor__2_35)) (portRef out (instanceRef nand__4_36)) ) ) (net NET2 (joined (portRef in2 (instanceRef nand__2_37)) (portRef out (instanceRef nand__3_38)) ) ) (net NET3 (joined (portRef in2 (instanceRef nor__2_45)) (portRef out (instanceRef nor__2_41)) ) ) (net NET4 (joined (portRef in2 (instanceRef aoi__31_33_nor)) (portRef in1 (instanceRef nand__2_32)) (portRef in (instanceRef inv__63)) (portRef out (instanceRef nor__2_45)) ) ) (net NET5 (joined (portRef in2 (instanceRef nor__2_41)) (portRef in2 (instanceRef oai__222_53_or1)) (portRef out (instanceRef nand__4_46)) ) ) (net NET6 (joined (portRef in (instanceRef inv__57)) (portRef out (instanceRef nand__2_48)) ) ) (net NET7 (joined (portRef in2 (instanceRef nor__2_39)) (portRef in4 (instanceRef nand__4_36)) (portRef out (instanceRef nand__2_49)) ) ) (net NET8 (joined (portRef in3 (instanceRef nand__4_36)) (portRef in (instanceRef inv__55)) (portRef out (instanceRef aoi__21_50_nor)) ) ) (net NET9 (joined (portRef in2 (instanceRef oai__222_53_or2)) (portRef in2 (instanceRef oai__222_53_or3)) (portRef out (instanceRef nand__2_51)) ) ) (net NET10 (joined (portRef in3 (instanceRef nor__3_47)) (portRef in (instanceRef inv__60)) (portRef out (instanceRef nand__2_52)) ) ) (net NET11 (joined (portRef in1 (instanceRef nor__2_45)) (portRef out (instanceRef oai__222_53_nand)) ) ) (net NET12 (joined (portRef in1 (instanceRef nor__4_29)) (portRef in1 (instanceRef nor__2_30)) (portRef in2 (instanceRef nand__2_31)) (portRef in1 (instanceRef nor__2_39)) (portRef out (instanceRef inv__55)) ) ) (net NET13 (joined (portRef in2 (instanceRef nor__2_30)) (portRef in3 (instanceRef nand__3_38)) (portRef in1 (instanceRef nand__2_49)) (portRef out (instanceRef inv__57)) ) ) (net NET14 (joined (portRef in2 (instanceRef aoi__21_50_and)) (portRef in1 (instanceRef oai__222_53_or1)) (portRef in1 (instanceRef oai__222_53_or3)) (portRef out (instanceRef inv__59)) ) ) (net NET15 (joined (portRef in4 (instanceRef nand__4_46)) (portRef in2 (instanceRef nand__2_48)) (portRef out (instanceRef inv__60)) ) ) (net NET16 (joined (portRef in1 (instanceRef nand__4_46)) (portRef in2 (instanceRef nand__2_51)) (portRef out (instanceRef inv__61)) ) ) (net NET17 (joined (portRef in1 (instanceRef nor__3_47)) (portRef in2 (instanceRef nand__2_49)) (portRef out (instanceRef inv__62)) ) ) (net NET18 (joined (portRef in1 (instanceRef aoi__31_33_nor)) (portRef out (instanceRef aoi__31_33_and)) ) ) (net NET19 (joined (portRef in1 (instanceRef aoi__21_50_nor)) (portRef out (instanceRef aoi__21_50_and)) ) ) (net NET20 (joined (portRef in1 (instanceRef oai__222_53_nand)) (portRef out (instanceRef oai__222_53_or1)) ) ) (net NET21 (joined (portRef in2 (instanceRef oai__222_53_nand)) (portRef out (instanceRef oai__222_53_or2)) ) ) (net NET22 (joined (portRef in3 (instanceRef oai__222_53_nand)) (portRef out (instanceRef oai__222_53_or3)) ) ) (net NET23 (joined (portRef out (instanceRef nand_44)) (portRef in2 (instanceRef nand_2)) ) ) (net NET24 (joined (portRef out (instanceRef nand_45)) (portRef in1 (instanceRef nand_2)) ) ) (net NET25 (joined (portRef in1 (instanceRef nand__4_36)) (portRef in1 (instanceRef aoi__31_33_and)) (portRef out (instanceRef nor_58)) ) ) (net NET26 (joined (portRef out (instanceRef nand_23)) (portRef in4 (instanceRef nor_58)) ) ) (net NET27 (joined (portRef out (instanceRef nand_24)) (portRef in4 (instanceRef nand_63)) ) ) (net NET28 (joined (portRef out (instanceRef nand_53)) (portRef in2 (instanceRef nor_22)) (portRef in2 (instanceRef nor_21)) ) ) (net NET29 (joined (portRef out (instanceRef nand_27)) (portRef in3 (instanceRef nand_51)) ) ) (net NET30 (joined (portRef in2 (instanceRef nor_28)) (portRef out (instanceRef inv_104)) ) ) (net NET31 (joined (portRef out (instanceRef nor_46)) (portRef in2 (instanceRef nand_37)) (portRef in (instanceRef inv_104)) ) ) (net NET32 (joined (portRef out (instanceRef nand_57)) (portRef in2 (instanceRef nor_29)) (portRef in2 (instanceRef nor_30)) ) ) (net NET33 (joined (portRef in1 (instanceRef nand_46)) (portRef in1 (instanceRef nor_2)) (portRef in2 (instanceRef nand_45)) (portRef out (instanceRef nand_59)) ) ) (net NET34 (joined (portRef in3 (instanceRef nor_35)) (portRef out (instanceRef inv_103)) ) ) (net NET35 (joined (portRef out (instanceRef nand_47)) (portRef in (instanceRef inv_103)) (portRef in3 (instanceRef nor_34)) ) ) (net NET36 (joined (portRef in1 (instanceRef nand_23)) (portRef out (instanceRef nor_62)) ) ) (net NET37 (joined (portRef out (instanceRef nor_59)) (portRef in2 (instanceRef nand_16)) (portRef in2 (instanceRef nand_17)) ) ) (net NET38 (joined (portRef out (instanceRef nand_62)) (portRef in4 (instanceRef nor_59)) ) ) (net NET39 (joined (portRef p_reset) (portRef clrn (instanceRef pc_reg0_reg)) (portRef clrn (instanceRef pc_reg1_reg)) (portRef clrn (instanceRef pc_reg2_reg)) (portRef clrn (instanceRef pc_reg3_reg)) (portRef clrn (instanceRef pc_reg4_reg)) (portRef clrn (instanceRef pc_reg5_reg)) (portRef clrn (instanceRef pc_reg6_reg)) (portRef clrn (instanceRef pc_reg7_reg)) (portRef clrn (instanceRef tpc_reg0_reg)) (portRef clrn (instanceRef tpc_reg1_reg)) (portRef clrn (instanceRef tpc_reg2_reg)) (portRef clrn (instanceRef tpc_reg3_reg)) (portRef clrn (instanceRef tpc_reg4_reg)) (portRef clrn (instanceRef tpc_reg5_reg)) (portRef clrn (instanceRef tpc_reg6_reg)) (portRef clrn (instanceRef tpc_reg7_reg)) (portRef clrn (instanceRef st0_reg)) (portRef clrn (instanceRef st1_reg)) (portRef clrn (instanceRef st2_reg)) (portRef clrn (instanceRef inten_reg)) (portRef clrn (instanceRef cnten_reg)) (portRef clrn (instanceRef cntintflag_reg)) (portRef clrn (instanceRef ir1_reg0_reg)) (portRef clrn (instanceRef ir1_reg1_reg)) (portRef clrn (instanceRef ir1_reg2_reg)) (portRef clrn (instanceRef ir1_reg3_reg)) (portRef clrn (instanceRef ir1_reg4_reg)) (portRef clrn (instanceRef ir1_reg5_reg)) (portRef clrn (instanceRef ir1_reg6_reg)) (portRef clrn (instanceRef ir1_reg7_reg)) (portRef clrn (instanceRef ir2_reg0_reg)) (portRef clrn (instanceRef ir2_reg1_reg)) (portRef clrn (instanceRef ir2_reg2_reg)) (portRef clrn (instanceRef ir2_reg3_reg)) (portRef clrn (instanceRef ir2_reg4_reg)) (portRef clrn (instanceRef ir2_reg5_reg)) (portRef clrn (instanceRef ir2_reg6_reg)) (portRef clrn (instanceRef ir2_reg7_reg)) (portRef clrn (instanceRef ir3_reg0_reg)) (portRef clrn (instanceRef ir3_reg1_reg)) (portRef clrn (instanceRef ir3_reg2_reg)) (portRef clrn (instanceRef ir3_reg3_reg)) (portRef clrn (instanceRef ir3_reg4_reg)) (portRef clrn (instanceRef ir3_reg5_reg)) (portRef clrn (instanceRef ir3_reg6_reg)) (portRef clrn (instanceRef ir3_reg7_reg)) (portRef clrn (instanceRef eforcenop_reg)) (portRef clrn (instanceRef op_reg0_reg)) (portRef clrn (instanceRef op_reg1_reg)) (portRef clrn (instanceRef op_reg2_reg)) (portRef clrn (instanceRef op_reg3_reg)) (portRef clrn (instanceRef op_reg4_reg)) (portRef clrn (instanceRef op_reg5_reg)) (portRef clrn (instanceRef op_reg6_reg)) (portRef clrn (instanceRef op_reg7_reg)) (portRef clrn (instanceRef op_reg8_reg)) (portRef clrn (instanceRef op_reg9_reg)) (portRef clrn (instanceRef op_reg10_reg)) (portRef clrn (instanceRef op_reg11_reg)) (portRef clrn (instanceRef op_reg12_reg)) (portRef clrn (instanceRef op_reg13_reg)) (portRef clrn (instanceRef op_reg14_reg)) (portRef clrn (instanceRef op_reg15_reg)) (portRef clrn (instanceRef dpc_reg0_reg)) (portRef clrn (instanceRef dpc_reg1_reg)) (portRef clrn (instanceRef dpc_reg2_reg)) (portRef clrn (instanceRef dpc_reg3_reg)) (portRef clrn (instanceRef dpc_reg4_reg)) (portRef clrn (instanceRef dpc_reg5_reg)) (portRef clrn (instanceRef dpc_reg6_reg)) (portRef clrn (instanceRef dpc_reg7_reg)) (portRef clrn (instanceRef epc_reg0_reg)) (portRef clrn (instanceRef epc_reg1_reg)) (portRef clrn (instanceRef epc_reg2_reg)) (portRef clrn (instanceRef epc_reg3_reg)) (portRef clrn (instanceRef epc_reg4_reg)) (portRef clrn (instanceRef epc_reg5_reg)) (portRef clrn (instanceRef epc_reg6_reg)) (portRef clrn (instanceRef epc_reg7_reg)) (portRef clrn (instanceRef eop_reg0_reg)) (portRef clrn (instanceRef eop_reg1_reg)) (portRef clrn (instanceRef eop_reg2_reg)) (portRef clrn (instanceRef eop_reg3_reg)) (portRef clrn (instanceRef eop_reg4_reg)) (portRef clrn (instanceRef eop_reg5_reg)) (portRef clrn (instanceRef eop_reg6_reg)) (portRef clrn (instanceRef eop_reg7_reg)) (portRef clrn (instanceRef eop_reg10_reg)) (portRef clrn (instanceRef eop_reg11_reg)) (portRef clrn (instanceRef eop_reg12_reg)) (portRef clrn (instanceRef eop_reg13_reg)) (portRef clrn (instanceRef eop_reg14_reg)) (portRef clrn (instanceRef eop_reg15_reg)) (portRef clrn (instanceRef eop1_reg0_reg)) (portRef clrn (instanceRef eop1_reg1_reg)) (portRef clrn (instanceRef eop1_reg2_reg)) (portRef clrn (instanceRef eop1_reg3_reg)) (portRef clrn (instanceRef eop1_reg4_reg)) (portRef clrn (instanceRef eop1_reg5_reg)) (portRef clrn (instanceRef eop1_reg6_reg)) (portRef clrn (instanceRef eop1_reg7_reg)) (portRef clrn (instanceRef eop2_reg0_reg)) (portRef clrn (instanceRef eop2_reg1_reg)) (portRef clrn (instanceRef eop2_reg2_reg)) (portRef clrn (instanceRef eop2_reg3_reg)) (portRef clrn (instanceRef eop2_reg4_reg)) (portRef clrn (instanceRef eop2_reg5_reg)) (portRef clrn (instanceRef eop2_reg6_reg)) (portRef clrn (instanceRef eop2_reg7_reg)) (portRef clrn (instanceRef clasel1_reg0_reg)) (portRef clrn (instanceRef clasel1_reg1_reg)) (portRef clrn (instanceRef clasel1_reg2_reg)) (portRef clrn (instanceRef clasel1_reg3_reg)) (portRef clrn (instanceRef clasel2_reg0_reg)) (portRef clrn (instanceRef clasel2_reg1_reg)) (portRef clrn (instanceRef clasel2_reg2_reg)) (portRef clrn (instanceRef clasel2_reg3_reg)) (portRef clrn (instanceRef alusel_reg0_reg)) (portRef clrn (instanceRef alusel_reg1_reg)) (portRef clrn (instanceRef alusel_reg2_reg)) (portRef clrn (instanceRef alusel_reg3_reg)) (portRef clrn (instanceRef alusel_reg4_reg)) (portRef clrn (instanceRef mop_reg0_reg)) (portRef clrn (instanceRef mop_reg1_reg)) (portRef clrn (instanceRef mop_reg2_reg)) (portRef clrn (instanceRef mop_reg3_reg)) (portRef clrn (instanceRef mop_reg4_reg)) (portRef clrn (instanceRef mop_reg5_reg)) (portRef clrn (instanceRef malu_reg0_reg)) (portRef clrn (instanceRef malu_reg1_reg)) (portRef clrn (instanceRef malu_reg2_reg)) (portRef clrn (instanceRef malu_reg3_reg)) (portRef clrn (instanceRef malu_reg4_reg)) (portRef clrn (instanceRef malu_reg5_reg)) (portRef clrn (instanceRef malu_reg6_reg)) (portRef clrn (instanceRef malu_reg7_reg)) (portRef clrn (instanceRef msrc_reg0_reg)) (portRef clrn (instanceRef msrc_reg1_reg)) (portRef clrn (instanceRef msrc_reg2_reg)) (portRef clrn (instanceRef msrc_reg3_reg)) (portRef clrn (instanceRef msrc_reg4_reg)) (portRef clrn (instanceRef msrc_reg5_reg)) (portRef clrn (instanceRef msrc_reg6_reg)) (portRef clrn (instanceRef msrc_reg7_reg)) (portRef clrn (instanceRef mar_reg0_reg)) (portRef clrn (instanceRef mar_reg1_reg)) (portRef clrn (instanceRef mar_reg2_reg)) (portRef clrn (instanceRef mar_reg3_reg)) (portRef clrn (instanceRef mar_reg4_reg)) (portRef clrn (instanceRef mar_reg5_reg)) (portRef clrn (instanceRef mar_reg6_reg)) (portRef clrn (instanceRef mar_reg7_reg)) (portRef clrn (instanceRef wop_reg0_reg)) (portRef clrn (instanceRef wop_reg1_reg)) (portRef clrn (instanceRef walu_reg0_reg)) (portRef clrn (instanceRef walu_reg1_reg)) (portRef clrn (instanceRef walu_reg2_reg)) (portRef clrn (instanceRef walu_reg3_reg)) (portRef clrn (instanceRef walu_reg4_reg)) (portRef clrn (instanceRef walu_reg5_reg)) (portRef clrn (instanceRef walu_reg6_reg)) (portRef clrn (instanceRef walu_reg7_reg)) (portRef clrn (instanceRef xalu_reg0_reg)) (portRef clrn (instanceRef xalu_reg1_reg)) (portRef clrn (instanceRef xalu_reg2_reg)) (portRef clrn (instanceRef xalu_reg3_reg)) (portRef clrn (instanceRef xalu_reg4_reg)) (portRef clrn (instanceRef xalu_reg5_reg)) (portRef clrn (instanceRef xalu_reg6_reg)) (portRef clrn (instanceRef xalu_reg7_reg)) (portRef clrn (instanceRef btb_reg0_reg)) (portRef clrn (instanceRef btb_reg1_reg)) (portRef clrn (instanceRef btb_reg2_reg)) (portRef clrn (instanceRef btb_reg3_reg)) (portRef clrn (instanceRef btb_reg4_reg)) (portRef clrn (instanceRef btb_reg5_reg)) (portRef clrn (instanceRef btb_reg6_reg)) (portRef clrn (instanceRef btb_reg7_reg)) (portRef clrn (instanceRef btb_reg8_reg)) (portRef clrn (instanceRef btb_reg9_reg)) (portRef clrn (instanceRef btb_reg10_reg)) (portRef clrn (instanceRef btb_reg11_reg)) (portRef clrn (instanceRef btb_reg12_reg)) (portRef clrn (instanceRef btb_reg13_reg)) (portRef clrn (instanceRef btb_reg14_reg)) (portRef clrn (instanceRef btb_reg15_reg)) (portRef clrn (instanceRef ntif_reg)) (portRef clrn (instanceRef dtif_reg0_reg)) (portRef clrn (instanceRef dtif_reg1_reg)) (portRef clrn (instanceRef etif_reg0_reg)) (portRef clrn (instanceRef etif_reg1_reg)) (portRef clrn (instanceRef btbv_reg)) (portRef clrn (instanceRef ru1_reg0_reg)) (portRef clrn (instanceRef ru1_reg1_reg)) (portRef clrn (instanceRef ru1_reg2_reg)) (portRef clrn (instanceRef ru1_reg3_reg)) (portRef clrn (instanceRef ru2_reg1_reg)) (portRef clrn (instanceRef ru2_reg2_reg)) (portRef clrn (instanceRef ru2_reg3_reg)) (portRef clrn (instanceRef ru3_reg1_reg)) (portRef clrn (instanceRef ru3_reg2_reg)) (portRef clrn (instanceRef ru3_reg3_reg)) (portRef clrn (instanceRef streq_reg)) (portRef clrn (instanceRef gr_r0_reg7_reg)) (portRef clrn (instanceRef gr_r0_reg6_reg)) (portRef clrn (instanceRef gr_r0_reg5_reg)) (portRef clrn (instanceRef gr_r0_reg4_reg)) (portRef clrn (instanceRef gr_r0_reg3_reg)) (portRef clrn (instanceRef gr_r0_reg2_reg)) (portRef clrn (instanceRef gr_r0_reg1_reg)) (portRef clrn (instanceRef gr_r0_reg0_reg)) (portRef clrn (instanceRef gr_r1_reg7_reg)) (portRef clrn (instanceRef gr_r1_reg6_reg)) (portRef clrn (instanceRef gr_r1_reg5_reg)) (portRef clrn (instanceRef gr_r1_reg4_reg)) (portRef clrn (instanceRef gr_r1_reg3_reg)) (portRef clrn (instanceRef gr_r1_reg2_reg)) (portRef clrn (instanceRef gr_r1_reg1_reg)) (portRef clrn (instanceRef gr_r1_reg0_reg)) (portRef clrn (instanceRef gr_r2_reg7_reg)) (portRef clrn (instanceRef gr_r2_reg6_reg)) (portRef clrn (instanceRef gr_r2_reg5_reg)) (portRef clrn (instanceRef gr_r2_reg4_reg)) (portRef clrn (instanceRef gr_r2_reg3_reg)) (portRef clrn (instanceRef gr_r2_reg2_reg)) (portRef clrn (instanceRef gr_r2_reg1_reg)) (portRef clrn (instanceRef gr_r2_reg0_reg)) (portRef clrn (instanceRef gr_r3_reg7_reg)) (portRef clrn (instanceRef gr_r3_reg6_reg)) (portRef clrn (instanceRef gr_r3_reg5_reg)) (portRef clrn (instanceRef gr_r3_reg4_reg)) (portRef clrn (instanceRef gr_r3_reg3_reg)) (portRef clrn (instanceRef gr_r3_reg2_reg)) (portRef clrn (instanceRef gr_r3_reg1_reg)) (portRef clrn (instanceRef gr_r3_reg0_reg)) (portRef prn (instanceRef int__all_reg)) (portRef prn (instanceRef int_intt_reg)) (portRef prn (instanceRef pipectl__all_reg)) (portRef prn (instanceRef counter__all_reg)) (portRef prn (instanceRef if__all_reg)) (portRef prn (instanceRef id__all_reg)) (portRef prn (instanceRef id_idt_reg)) (portRef prn (instanceRef exec__all_reg)) (portRef prn (instanceRef mem__all_reg)) (portRef prn (instanceRef wrb__all_reg)) (portRef clrn (instanceRef if_1_reg)) (portRef clrn (instanceRef if_0_reg)) ) ) (net NET40 (joined (portRef m_clock) (portRef clk (instanceRef pc_reg0_reg)) (portRef clk (instanceRef pc_reg1_reg)) (portRef clk (instanceRef pc_reg2_reg)) (portRef clk (instanceRef pc_reg3_reg)) (portRef clk (instanceRef pc_reg4_reg)) (portRef clk (instanceRef pc_reg5_reg)) (portRef clk (instanceRef pc_reg6_reg)) (portRef clk (instanceRef pc_reg7_reg)) (portRef clk (instanceRef tpc_reg0_reg)) (portRef clk (instanceRef tpc_reg1_reg)) (portRef clk (instanceRef tpc_reg2_reg)) (portRef clk (instanceRef tpc_reg3_reg)) (portRef clk (instanceRef tpc_reg4_reg)) (portRef clk (instanceRef tpc_reg5_reg)) (portRef clk (instanceRef tpc_reg6_reg)) (portRef clk (instanceRef tpc_reg7_reg)) (portRef clk (instanceRef st0_reg)) (portRef clk (instanceRef st1_reg)) (portRef clk (instanceRef st2_reg)) (portRef clk (instanceRef inten_reg)) (portRef clk (instanceRef cnten_reg)) (portRef clk (instanceRef cntintflag_reg)) (portRef clk (instanceRef ir1_reg0_reg)) (portRef clk (instanceRef ir1_reg1_reg)) (portRef clk (instanceRef ir1_reg2_reg)) (portRef clk (instanceRef ir1_reg3_reg)) (portRef clk (instanceRef ir1_reg4_reg)) (portRef clk (instanceRef ir1_reg5_reg)) (portRef clk (instanceRef ir1_reg6_reg)) (portRef clk (instanceRef ir1_reg7_reg)) (portRef clk (instanceRef ir2_reg0_reg)) (portRef clk (instanceRef ir2_reg1_reg)) (portRef clk (instanceRef ir2_reg2_reg)) (portRef clk (instanceRef ir2_reg3_reg)) (portRef clk (instanceRef ir2_reg4_reg)) (portRef clk (instanceRef ir2_reg5_reg)) (portRef clk (instanceRef ir2_reg6_reg)) (portRef clk (instanceRef ir2_reg7_reg)) (portRef clk (instanceRef ir3_reg0_reg)) (portRef clk (instanceRef ir3_reg1_reg)) (portRef clk (instanceRef ir3_reg2_reg)) (portRef clk (instanceRef ir3_reg3_reg)) (portRef clk (instanceRef ir3_reg4_reg)) (portRef clk (instanceRef ir3_reg5_reg)) (portRef clk (instanceRef ir3_reg6_reg)) (portRef clk (instanceRef ir3_reg7_reg)) (portRef clk (instanceRef eforcenop_reg)) (portRef clk (instanceRef op_reg0_reg)) (portRef clk (instanceRef op_reg1_reg)) (portRef clk (instanceRef op_reg2_reg)) (portRef clk (instanceRef op_reg3_reg)) (portRef clk (instanceRef op_reg4_reg)) (portRef clk (instanceRef op_reg5_reg)) (portRef clk (instanceRef op_reg6_reg)) (portRef clk (instanceRef op_reg7_reg)) (portRef clk (instanceRef op_reg8_reg)) (portRef clk (instanceRef op_reg9_reg)) (portRef clk (instanceRef op_reg10_reg)) (portRef clk (instanceRef op_reg11_reg)) (portRef clk (instanceRef op_reg12_reg)) (portRef clk (instanceRef op_reg13_reg)) (portRef clk (instanceRef op_reg14_reg)) (portRef clk (instanceRef op_reg15_reg)) (portRef clk (instanceRef dpc_reg0_reg)) (portRef clk (instanceRef dpc_reg1_reg)) (portRef clk (instanceRef dpc_reg2_reg)) (portRef clk (instanceRef dpc_reg3_reg)) (portRef clk (instanceRef dpc_reg4_reg)) (portRef clk (instanceRef dpc_reg5_reg)) (portRef clk (instanceRef dpc_reg6_reg)) (portRef clk (instanceRef dpc_reg7_reg)) (portRef clk (instanceRef epc_reg0_reg)) (portRef clk (instanceRef epc_reg1_reg)) (portRef clk (instanceRef epc_reg2_reg)) (portRef clk (instanceRef epc_reg3_reg)) (portRef clk (instanceRef epc_reg4_reg)) (portRef clk (instanceRef epc_reg5_reg)) (portRef clk (instanceRef epc_reg6_reg)) (portRef clk (instanceRef epc_reg7_reg)) (portRef clk (instanceRef eop_reg0_reg)) (portRef clk (instanceRef eop_reg1_reg)) (portRef clk (instanceRef eop_reg2_reg)) (portRef clk (instanceRef eop_reg3_reg)) (portRef clk (instanceRef eop_reg4_reg)) (portRef clk (instanceRef eop_reg5_reg)) (portRef clk (instanceRef eop_reg6_reg)) (portRef clk (instanceRef eop_reg7_reg)) (portRef clk (instanceRef eop_reg10_reg)) (portRef clk (instanceRef eop_reg11_reg)) (portRef clk (instanceRef eop_reg12_reg)) (portRef clk (instanceRef eop_reg13_reg)) (portRef clk (instanceRef eop_reg14_reg)) (portRef clk (instanceRef eop_reg15_reg)) (portRef clk (instanceRef eop1_reg0_reg)) (portRef clk (instanceRef eop1_reg1_reg)) (portRef clk (instanceRef eop1_reg2_reg)) (portRef clk (instanceRef eop1_reg3_reg)) (portRef clk (instanceRef eop1_reg4_reg)) (portRef clk (instanceRef eop1_reg5_reg)) (portRef clk (instanceRef eop1_reg6_reg)) (portRef clk (instanceRef eop1_reg7_reg)) (portRef clk (instanceRef eop2_reg0_reg)) (portRef clk (instanceRef eop2_reg1_reg)) (portRef clk (instanceRef eop2_reg2_reg)) (portRef clk (instanceRef eop2_reg3_reg)) (portRef clk (instanceRef eop2_reg4_reg)) (portRef clk (instanceRef eop2_reg5_reg)) (portRef clk (instanceRef eop2_reg6_reg)) (portRef clk (instanceRef eop2_reg7_reg)) (portRef clk (instanceRef clasel1_reg0_reg)) (portRef clk (instanceRef clasel1_reg1_reg)) (portRef clk (instanceRef clasel1_reg2_reg)) (portRef clk (instanceRef clasel1_reg3_reg)) (portRef clk (instanceRef clasel2_reg0_reg)) (portRef clk (instanceRef clasel2_reg1_reg)) (portRef clk (instanceRef clasel2_reg2_reg)) (portRef clk (instanceRef clasel2_reg3_reg)) (portRef clk (instanceRef alusel_reg0_reg)) (portRef clk (instanceRef alusel_reg1_reg)) (portRef clk (instanceRef alusel_reg2_reg)) (portRef clk (instanceRef alusel_reg3_reg)) (portRef clk (instanceRef alusel_reg4_reg)) (portRef clk (instanceRef mop_reg0_reg)) (portRef clk (instanceRef mop_reg1_reg)) (portRef clk (instanceRef mop_reg2_reg)) (portRef clk (instanceRef mop_reg3_reg)) (portRef clk (instanceRef mop_reg4_reg)) (portRef clk (instanceRef mop_reg5_reg)) (portRef clk (instanceRef malu_reg0_reg)) (portRef clk (instanceRef malu_reg1_reg)) (portRef clk (instanceRef malu_reg2_reg)) (portRef clk (instanceRef malu_reg3_reg)) (portRef clk (instanceRef malu_reg4_reg)) (portRef clk (instanceRef malu_reg5_reg)) (portRef clk (instanceRef malu_reg6_reg)) (portRef clk (instanceRef malu_reg7_reg)) (portRef clk (instanceRef msrc_reg0_reg)) (portRef clk (instanceRef msrc_reg1_reg)) (portRef clk (instanceRef msrc_reg2_reg)) (portRef clk (instanceRef msrc_reg3_reg)) (portRef clk (instanceRef msrc_reg4_reg)) (portRef clk (instanceRef msrc_reg5_reg)) (portRef clk (instanceRef msrc_reg6_reg)) (portRef clk (instanceRef msrc_reg7_reg)) (portRef clk (instanceRef mar_reg0_reg)) (portRef clk (instanceRef mar_reg1_reg)) (portRef clk (instanceRef mar_reg2_reg)) (portRef clk (instanceRef mar_reg3_reg)) (portRef clk (instanceRef mar_reg4_reg)) (portRef clk (instanceRef mar_reg5_reg)) (portRef clk (instanceRef mar_reg6_reg)) (portRef clk (instanceRef mar_reg7_reg)) (portRef clk (instanceRef wop_reg0_reg)) (portRef clk (instanceRef wop_reg1_reg)) (portRef clk (instanceRef walu_reg0_reg)) (portRef clk (instanceRef walu_reg1_reg)) (portRef clk (instanceRef walu_reg2_reg)) (portRef clk (instanceRef walu_reg3_reg)) (portRef clk (instanceRef walu_reg4_reg)) (portRef clk (instanceRef walu_reg5_reg)) (portRef clk (instanceRef walu_reg6_reg)) (portRef clk (instanceRef walu_reg7_reg)) (portRef clk (instanceRef xalu_reg0_reg)) (portRef clk (instanceRef xalu_reg1_reg)) (portRef clk (instanceRef xalu_reg2_reg)) (portRef clk (instanceRef xalu_reg3_reg)) (portRef clk (instanceRef xalu_reg4_reg)) (portRef clk (instanceRef xalu_reg5_reg)) (portRef clk (instanceRef xalu_reg6_reg)) (portRef clk (instanceRef xalu_reg7_reg)) (portRef clk (instanceRef btb_reg0_reg)) (portRef clk (instanceRef btb_reg1_reg)) (portRef clk (instanceRef btb_reg2_reg)) (portRef clk (instanceRef btb_reg3_reg)) (portRef clk (instanceRef btb_reg4_reg)) (portRef clk (instanceRef btb_reg5_reg)) (portRef clk (instanceRef btb_reg6_reg)) (portRef clk (instanceRef btb_reg7_reg)) (portRef clk (instanceRef btb_reg8_reg)) (portRef clk (instanceRef btb_reg9_reg)) (portRef clk (instanceRef btb_reg10_reg)) (portRef clk (instanceRef btb_reg11_reg)) (portRef clk (instanceRef btb_reg12_reg)) (portRef clk (instanceRef btb_reg13_reg)) (portRef clk (instanceRef btb_reg14_reg)) (portRef clk (instanceRef btb_reg15_reg)) (portRef clk (instanceRef ntif_reg)) (portRef clk (instanceRef dtif_reg0_reg)) (portRef clk (instanceRef dtif_reg1_reg)) (portRef clk (instanceRef etif_reg0_reg)) (portRef clk (instanceRef etif_reg1_reg)) (portRef clk (instanceRef btbv_reg)) (portRef clk (instanceRef ru1_reg0_reg)) (portRef clk (instanceRef ru1_reg1_reg)) (portRef clk (instanceRef ru1_reg2_reg)) (portRef clk (instanceRef ru1_reg3_reg)) (portRef clk (instanceRef ru2_reg1_reg)) (portRef clk (instanceRef ru2_reg2_reg)) (portRef clk (instanceRef ru2_reg3_reg)) (portRef clk (instanceRef ru3_reg1_reg)) (portRef clk (instanceRef ru3_reg2_reg)) (portRef clk (instanceRef ru3_reg3_reg)) (portRef clk (instanceRef streq_reg)) (portRef clk (instanceRef gr_r0_reg7_reg)) (portRef clk (instanceRef gr_r0_reg6_reg)) (portRef clk (instanceRef gr_r0_reg5_reg)) (portRef clk (instanceRef gr_r0_reg4_reg)) (portRef clk (instanceRef gr_r0_reg3_reg)) (portRef clk (instanceRef gr_r0_reg2_reg)) (portRef clk (instanceRef gr_r0_reg1_reg)) (portRef clk (instanceRef gr_r0_reg0_reg)) (portRef clk (instanceRef gr_r1_reg7_reg)) (portRef clk (instanceRef gr_r1_reg6_reg)) (portRef clk (instanceRef gr_r1_reg5_reg)) (portRef clk (instanceRef gr_r1_reg4_reg)) (portRef clk (instanceRef gr_r1_reg3_reg)) (portRef clk (instanceRef gr_r1_reg2_reg)) (portRef clk (instanceRef gr_r1_reg1_reg)) (portRef clk (instanceRef gr_r1_reg0_reg)) (portRef clk (instanceRef gr_r2_reg7_reg)) (portRef clk (instanceRef gr_r2_reg6_reg)) (portRef clk (instanceRef gr_r2_reg5_reg)) (portRef clk (instanceRef gr_r2_reg4_reg)) (portRef clk (instanceRef gr_r2_reg3_reg)) (portRef clk (instanceRef gr_r2_reg2_reg)) (portRef clk (instanceRef gr_r2_reg1_reg)) (portRef clk (instanceRef gr_r2_reg0_reg)) (portRef clk (instanceRef gr_r3_reg7_reg)) (portRef clk (instanceRef gr_r3_reg6_reg)) (portRef clk (instanceRef gr_r3_reg5_reg)) (portRef clk (instanceRef gr_r3_reg4_reg)) (portRef clk (instanceRef gr_r3_reg3_reg)) (portRef clk (instanceRef gr_r3_reg2_reg)) (portRef clk (instanceRef gr_r3_reg1_reg)) (portRef clk (instanceRef gr_r3_reg0_reg)) (portRef clk (instanceRef int__all_reg)) (portRef clk (instanceRef int_intt_reg)) (portRef clk (instanceRef pipectl__all_reg)) (portRef clk (instanceRef counter__all_reg)) (portRef clk (instanceRef if__all_reg)) (portRef clk (instanceRef id__all_reg)) (portRef clk (instanceRef id_idt_reg)) (portRef clk (instanceRef exec__all_reg)) (portRef clk (instanceRef mem__all_reg)) (portRef clk (instanceRef wrb__all_reg)) (portRef clk (instanceRef if_1_reg)) (portRef clk (instanceRef if_0_reg)) ) ) (net NET41 (joined (portRef in3 (instanceRef nor_33)) (portRef out (instanceRef inv_102)) ) ) (net NET42 (joined (portRef in (instanceRef inv_102)) (portRef in3 (instanceRef nand_42)) (portRef in1 (instanceRef nand_1)) (portRef in3 (instanceRef nand_52)) (portRef out (instanceRef nor_20)) ) ) (net NET43 (joined (portRef in1 (instanceRef nor_59)) (portRef out (instanceRef eor_22)) ) ) (net NET44 (joined (portRef in4 (instanceRef nand_62)) (portRef out (instanceRef enor_10)) ) ) (net NET45 (joined (portRef in3 (instanceRef nand_62)) (portRef out (instanceRef enor_9)) ) ) (net NET46 (joined (portRef in2 (instanceRef nand_62)) (portRef out (instanceRef enor_8)) ) ) (net NET47 (joined (portRef in1 (instanceRef nand_62)) (portRef out (instanceRef enor_7)) ) ) (net NET48 (joined (portRef in3 (instanceRef nor_59)) (portRef out (instanceRef eor_21)) ) ) (net NET49 (joined (portRef in2 (instanceRef nor_59)) (portRef out (instanceRef eor_20)) ) ) (net NET50 (joined (portRef in1 (instanceRef nand_5)) (portRef out (instanceRef nand_4)) (portRef in2 (instanceRef sel_10_nand_2)) ) ) (net NET51 (joined (portRef in1 (instanceRef nand_6)) (portRef out (instanceRef nand_7)) ) ) (net NET52 (joined (portRef in2 (instanceRef nand_3)) (portRef out (instanceRef nor_1)) (portRef in2 (instanceRef gr_nand_54)) (portRef in2 (instanceRef gr_nand_49)) (portRef in2 (instanceRef gr_nand_56)) (portRef in2 (instanceRef gr_nand_58)) (portRef in2 (instanceRef gr_nand_60)) (portRef in2 (instanceRef gr_nand_62)) (portRef in2 (instanceRef gr_nand_64)) (portRef in2 (instanceRef gr_nand_50)) ) ) (net NET53 (joined (portRef in2 (instanceRef nand_19)) (portRef out (instanceRef inv_101)) ) ) (net NET54 (joined (portRef in1 (instanceRef nor_32)) (portRef in (instanceRef inv_101)) (portRef in1 (instanceRef nor_38)) (portRef out (instanceRef nand_70_nand_1)) ) ) (net NET55 (joined (portRef in1 (instanceRef nand_13)) (portRef out (instanceRef nand_14)) ) ) (net NET56 (joined (portRef in1 (instanceRef nor_34)) (portRef in1 (instanceRef nor_35)) (portRef in1 (instanceRef nor_57)) (portRef out (instanceRef nor_29)) (portRef d (instanceRef clasel1_reg1_reg)) ) ) (net NET57 (joined (portRef in3 (instanceRef nor_57)) (portRef out (instanceRef eor_18)) ) ) (net NET58 (joined (portRef in2 (instanceRef nor_57)) (portRef out (instanceRef eor_19)) ) ) (net NET59 (joined (portRef in1 (instanceRef nand_45)) (portRef in3 (instanceRef nand_46)) (portRef in2 (instanceRef nand_59)) (portRef out (instanceRef nand_37)) ) ) (net NET60 (joined (portRef d (instanceRef btbv_reg)) (portRef out (instanceRef nand__2_37)) (portRef in1 (instanceRef nor__2_35)) ) ) (net NET61 (joined (portRef in1 (instanceRef nand_17)) (portRef in2 (instanceRef nand_18)) (portRef q (instanceRef btbv_reg)) ) ) (net NET62 (joined (portRef out (instanceRef nand_1)) (portRef in2 (instanceRef nand_26)) ) ) (net NET63 (joined (portRef in2 (instanceRef nand_53)) (portRef in2 (instanceRef nand_63)) (portRef in1 (instanceRef nand_26)) (portRef in1 (instanceRef nand_25)) (portRef in1 (instanceRef nor_56)) (portRef in4 (instanceRef nor_61)) (portRef out (instanceRef inv_100)) (portRef in2 (instanceRef sel_17_nand_3)) (portRef in2 (instanceRef sel_17_nand_16)) (portRef in2 (instanceRef sel_17_nand_13)) (portRef in2 (instanceRef sel_17_nand_10)) (portRef in2 (instanceRef sel_17_nand_7)) (portRef in2 (instanceRef sel_17_nand_4)) ) ) (net NET64 (joined (portRef in1 (instanceRef nand_3)) (portRef in1 (instanceRef nand_44)) (portRef in1 (instanceRef nand_43)) (portRef in1 (instanceRef nand_42)) (portRef in2 (instanceRef nor_33)) (portRef in1 (instanceRef nand_52)) (portRef in (instanceRef inv_100)) (portRef in2 (instanceRef nor_60)) (portRef in2 (instanceRef nor_55)) (portRef q (instanceRef op_reg15_reg)) (portRef d (instanceRef eop_reg15_reg)) (portRef in2 (instanceRef sel_17_nand_2)) (portRef in2 (instanceRef sel_17_nand_17)) (portRef in2 (instanceRef sel_17_nand_14)) (portRef in2 (instanceRef sel_17_nand_11)) (portRef in2 (instanceRef sel_17_nand_9)) (portRef in2 (instanceRef sel_17_nand_6)) ) ) (net NET65 (joined (portRef in1 (instanceRef nand_27)) (portRef in1 (instanceRef nand_63)) (portRef out (instanceRef inv_99)) ) ) (net NET66 (joined (portRef in1 (instanceRef nand_53)) (portRef in2 (instanceRef nor_20)) (portRef in3 (instanceRef nor_60)) (portRef in1 (instanceRef nor_61)) (portRef in (instanceRef inv_99)) (portRef q (instanceRef op_reg14_reg)) (portRef d (instanceRef eop_reg14_reg)) ) ) (net NET67 (joined (portRef in2 (instanceRef nand_51)) (portRef in2 (instanceRef nand_25)) (portRef out (instanceRef nor_30)) ) ) (net NET68 (joined (portRef in2 (instanceRef nand_43)) (portRef in3 (instanceRef nand_63)) (portRef out (instanceRef nor_28)) ) ) (net NET69 (joined (portRef in1 (instanceRef nor_33)) (portRef in2 (instanceRef nand_1)) (portRef in3 (instanceRef nand_53)) (portRef in1 (instanceRef nor_60)) (portRef in2 (instanceRef nand_24)) (portRef out (instanceRef inv_98)) ) ) (net NET70 (joined (portRef in3 (instanceRef nor_61)) (portRef in (instanceRef inv_98)) (portRef in2 (instanceRef nand_42)) (portRef in1 (instanceRef nand_51)) (portRef in2 (instanceRef nor_56)) (portRef q (instanceRef op_reg12_reg)) (portRef d (instanceRef eop_reg12_reg)) ) ) (net NET71 (joined (portRef in2 (instanceRef nand_52)) (portRef q (instanceRef streq_reg)) ) ) (net NET72 (joined (portRef out (instanceRef nand_25)) (portRef in5 (instanceRef nand_70_nand_1)) ) ) (net NET73 (joined (portRef out (instanceRef nand_43)) (portRef in4 (instanceRef nand_70_nand_1)) ) ) (net NET74 (joined (portRef out (instanceRef nand_63)) (portRef in3 (instanceRef nand_70_nand_1)) ) ) (net NET75 (joined (portRef out (instanceRef nand_51)) (portRef in2 (instanceRef nand_70_nand_1)) ) ) (net NET76 (joined (portRef out (instanceRef nand_52)) (portRef in1 (instanceRef nand_70_nand_1)) ) ) (net NET77 (joined (portRef in3 (instanceRef nand__4_46)) (portRef in2 (instanceRef nand__3_38)) (portRef out (instanceRef nor_67)) ) ) (net NET78 (joined (portRef in1 (instanceRef nand_8)) (portRef in1 (instanceRef nor_55)) (portRef q (instanceRef id_idt_reg)) (portRef in1 (instanceRef id_idt_nor_1)) ) ) (net NET79 (joined (portRef in3 (instanceRef nand_54)) (portRef out (instanceRef nand_31)) (portRef in2 (instanceRef nor_23)) ) ) (net NET80 (joined (portRef in2 (instanceRef nor_24)) (portRef out (instanceRef nor_23)) ) ) (net NET81 (joined (portRef in2 (instanceRef nand_28)) (portRef in1 (instanceRef nand_29)) (portRef out (instanceRef nand_30)) ) ) (net NET82 (joined (portRef in1 (instanceRef nand_28)) (portRef out (instanceRef inv_97)) ) ) (net NET83 (joined (portRef in (instanceRef inv_97)) (portRef out (instanceRef nor_24)) ) ) (net NET84 (joined (portRef in2 (instanceRef nand__4_36)) (portRef in2 (instanceRef aoi__31_33_and)) (portRef q (instanceRef etif_reg1_reg)) ) ) (net NET85 (joined (portRef ena (instanceRef btb_reg15_reg)) (portRef ena (instanceRef btb_reg14_reg)) (portRef ena (instanceRef btb_reg13_reg)) (portRef ena (instanceRef btb_reg12_reg)) (portRef ena (instanceRef btb_reg11_reg)) (portRef ena (instanceRef btb_reg10_reg)) (portRef ena (instanceRef btb_reg9_reg)) (portRef ena (instanceRef btb_reg8_reg)) (portRef ena (instanceRef btb_reg7_reg)) (portRef ena (instanceRef btb_reg6_reg)) (portRef ena (instanceRef btb_reg5_reg)) (portRef ena (instanceRef btb_reg4_reg)) (portRef ena (instanceRef btb_reg3_reg)) (portRef ena (instanceRef btb_reg2_reg)) (portRef ena (instanceRef btb_reg1_reg)) (portRef ena (instanceRef btb_reg0_reg)) (portRef out (instanceRef inv__63)) ) ) (net NET86 (joined (portRef in1 (instanceRef nand__2_48)) (portRef in2 (instanceRef nor__3_47)) (portRef q (instanceRef eop_reg12_reg)) (portRef in1 (instanceRef sel_17_nand_11)) (portRef in1 (instanceRef sel_17_nand_10)) ) ) (net NET87 (joined (portRef in2 (instanceRef nand_31)) (portRef in2 (instanceRef nand_55)) (portRef in2 (instanceRef nor_41)) (portRef q (instanceRef mop_reg4_reg)) ) ) (net NET88 (joined (portRef in1 (instanceRef nand_31)) (portRef out (instanceRef inv_93)) ) ) (net NET89 (joined (portRef in (instanceRef inv_93)) (portRef in4 (instanceRef nand_64)) (portRef out (instanceRef nor_25)) ) ) (net NET90 (joined (portRef in2 (instanceRef nand_30)) (portRef out (instanceRef nand_32)) (portRef in2 (instanceRef sel_20_nand_22)) (portRef in2 (instanceRef sel_20_nand_5)) (portRef in2 (instanceRef sel_20_nand_28)) (portRef in2 (instanceRef sel_20_nand_27)) (portRef in2 (instanceRef sel_20_nand_26)) (portRef in2 (instanceRef sel_20_nand_25)) (portRef in2 (instanceRef sel_20_nand_24)) (portRef in2 (instanceRef sel_20_nand_23)) ) ) (net NET91 (joined (portRef in2 (instanceRef nand_29)) (portRef out (instanceRef nand_54)) ) ) (net NET92 (joined (portRef in3 (instanceRef aoi__31_33_and)) (portRef out (instanceRef nor_66)) ) ) (net NET93 (joined (portRef in (instanceRef inv__59)) (portRef q (instanceRef etif_reg0_reg)) ) ) (net NET94 (joined (portRef in1 (instanceRef oai__222_53_or2)) (portRef in1 (instanceRef nor__2_41)) (portRef in1 (instanceRef aoi__21_50_and)) (portRef q (instanceRef eforcenop_reg)) ) ) (net NET95 (joined (portRef in (instanceRef inv__62)) (portRef in2 (instanceRef nand__4_46)) (portRef in1 (instanceRef nand__3_38)) (portRef in2 (instanceRef nor__4_29)) (portRef q (instanceRef eop_reg14_reg)) (portRef in1 (instanceRef sel_17_nand_17)) (portRef in1 (instanceRef sel_17_nand_16)) ) ) (net NET96 (joined (portRef ena (instanceRef tpc_reg7_reg)) (portRef ena (instanceRef tpc_reg6_reg)) (portRef ena (instanceRef tpc_reg5_reg)) (portRef ena (instanceRef tpc_reg4_reg)) (portRef ena (instanceRef tpc_reg3_reg)) (portRef ena (instanceRef tpc_reg2_reg)) (portRef ena (instanceRef tpc_reg1_reg)) (portRef ena (instanceRef tpc_reg0_reg)) (portRef d (instanceRef ntif_reg)) (portRef d (instanceRef eforcenop_reg)) (portRef out (instanceRef inv__64)) ) ) (net NET97 (joined (portRef in2 (instanceRef nand_13)) (portRef out (instanceRef nor__4_28)) (portRef in (instanceRef inv__64)) ) ) (net NET98 (joined (portRef in2 (instanceRef nand_15)) (portRef out (instanceRef inv_89)) ) ) (net NET99 (joined (portRef out (instanceRef nor_7)) (portRef in (instanceRef inv_89)) (portRef in2 (instanceRef counter__all_nor_1)) (portRef in2 (instanceRef pipectl__all_nor_1)) ) ) (net NET100 (joined (portRef in1 (instanceRef nand_15)) (portRef in2 (instanceRef nor_4)) (portRef out (instanceRef inv_88)) ) ) (net NET101 (joined (portRef in1 (instanceRef nand_18)) (portRef in2 (instanceRef nand_14)) (portRef inst_read) (portRef out (instanceRef nor_38)) (portRef in (instanceRef inv_88)) (portRef in2 (instanceRef if__all_nor_1)) (portRef in2 (instanceRef id_idt_nor_2)) (portRef in2 (instanceRef id__all_nor_2)) (portRef ena (instanceRef op_reg15_reg)) (portRef ena (instanceRef op_reg14_reg)) (portRef ena (instanceRef op_reg13_reg)) (portRef ena (instanceRef op_reg12_reg)) (portRef ena (instanceRef op_reg11_reg)) (portRef ena (instanceRef op_reg10_reg)) (portRef ena (instanceRef op_reg9_reg)) (portRef ena (instanceRef op_reg8_reg)) (portRef ena (instanceRef op_reg7_reg)) (portRef ena (instanceRef op_reg6_reg)) (portRef ena (instanceRef op_reg5_reg)) (portRef ena (instanceRef op_reg4_reg)) (portRef ena (instanceRef op_reg3_reg)) (portRef ena (instanceRef op_reg2_reg)) (portRef ena (instanceRef op_reg1_reg)) (portRef ena (instanceRef op_reg0_reg)) (portRef ena (instanceRef dtif_reg1_reg)) (portRef ena (instanceRef dtif_reg0_reg)) (portRef ena (instanceRef dpc_reg7_reg)) (portRef ena (instanceRef dpc_reg6_reg)) (portRef ena (instanceRef dpc_reg5_reg)) (portRef ena (instanceRef dpc_reg4_reg)) (portRef ena (instanceRef dpc_reg3_reg)) (portRef ena (instanceRef dpc_reg2_reg)) (portRef ena (instanceRef dpc_reg1_reg)) (portRef ena (instanceRef dpc_reg0_reg)) ) ) (net NET102 (joined (portRef in3 (instanceRef nor_38)) (portRef out (instanceRef inv_87)) ) ) (net NET103 (joined (portRef in (instanceRef inv_87)) (portRef out (instanceRef nor_37)) (portRef in3 (instanceRef nand_48)) ) ) (net NET104 (joined (portRef in2 (instanceRef nand_48)) (portRef out (instanceRef nand_12)) ) ) (net NET105 (joined (portRef in1 (instanceRef nand_11)) (portRef out (instanceRef nand_48)) ) ) (net NET106 (joined (portRef in2 (instanceRef nor_3)) (portRef out (instanceRef inv_86)) (portRef d (instanceRef if_1_reg)) ) ) (net NET107 (joined (portRef in2 (instanceRef nor_37)) (portRef in (instanceRef inv_86)) (portRef q (instanceRef if_1_reg)) ) ) (net NET108 (joined (portRef in1 (instanceRef nand_19)) (portRef out (instanceRef inv_85)) (portRef in2 (instanceRef sel_4_nand_3)) (portRef in2 (instanceRef sel_4_nand_18)) (portRef in2 (instanceRef sel_4_nand_22)) (portRef in2 (instanceRef sel_4_nand_19)) (portRef in2 (instanceRef sel_4_nand_13)) (portRef in2 (instanceRef sel_4_nand_10)) (portRef in2 (instanceRef sel_4_nand_7)) (portRef in2 (instanceRef sel_4_nand_4)) ) ) (net NET109 (joined (portRef in1 (instanceRef nand_14)) (portRef in (instanceRef inv_85)) (portRef q (instanceRef ntif_reg)) (portRef d (instanceRef dtif_reg0_reg)) (portRef in2 (instanceRef sel_4_nand_2)) (portRef in2 (instanceRef sel_4_nand_16)) (portRef in2 (instanceRef sel_4_nand_24)) (portRef in2 (instanceRef sel_4_nand_21)) (portRef in2 (instanceRef sel_4_nand_15)) (portRef in2 (instanceRef sel_4_nand_12)) (portRef in2 (instanceRef sel_4_nand_9)) (portRef in2 (instanceRef sel_4_nand_6)) (portRef in2 (instanceRef sel_6_nor_1)) (portRef in2 (instanceRef sel_6_nor_3)) (portRef in2 (instanceRef sel_6_nor_2)) (portRef in2 (instanceRef sel_7_nor_1)) (portRef in2 (instanceRef sel_7_nor_3)) (portRef in2 (instanceRef sel_7_nor_2)) ) ) (net NET110 (joined (portRef in2 (instanceRef nand_7)) (portRef in2 (instanceRef nand_8)) (portRef in2 (instanceRef nand_10)) (portRef out (instanceRef nor_3)) ) ) (net NET111 (joined (portRef in2 (instanceRef nand_4)) (portRef out (instanceRef inv_84)) (portRef in2 (instanceRef sel_11_nand_2)) (portRef in2 (instanceRef sel_11_nand_15)) (portRef in2 (instanceRef sel_11_nand_23)) (portRef in2 (instanceRef sel_11_nand_20)) (portRef in2 (instanceRef sel_11_nand_14)) (portRef in2 (instanceRef sel_11_nand_11)) (portRef in2 (instanceRef sel_11_nand_8)) ) ) (net NET112 (joined (portRef in1 (instanceRef nand_38)) (portRef out (instanceRef nand_39)) (portRef in (instanceRef inv_84)) (portRef in2 (instanceRef sel_11_nor_1)) ) ) (net NET113 (joined (portRef in1 (instanceRef nand_4)) (portRef out (instanceRef nor_65)) ) ) (net NET114 (joined (portRef in2 (instanceRef nor_49)) (portRef in2 (instanceRef nor_51)) (portRef in2 (instanceRef nor_47)) (portRef in2 (instanceRef nor_52)) (portRef out (instanceRef inv_83)) ) ) (net NET115 (joined (portRef in2 (instanceRef nor_48)) (portRef in (instanceRef inv_83)) (portRef in2 (instanceRef nor_54)) (portRef in2 (instanceRef nor_50)) (portRef in2 (instanceRef nor_53)) (portRef q (instanceRef malu_reg0_reg)) (portRef in1 (instanceRef clain2_nand_26)) (portRef in1 (instanceRef er2f_nand_26)) (portRef in1 (instanceRef sel_20_nand_23)) ) ) (net NET116 (joined (portRef in2 (instanceRef nand_39)) (portRef q (instanceRef cnten_reg)) (portRef in1 (instanceRef sel_20_nand_3)) ) ) (net NET117 (joined (portRef in1 (instanceRef nor_54)) (portRef in1 (instanceRef nor_49)) (portRef in1 (instanceRef nor_50)) (portRef in1 (instanceRef nor_52)) (portRef out (instanceRef inv_82)) ) ) (net NET118 (joined (portRef in1 (instanceRef nor_48)) (portRef in1 (instanceRef nor_47)) (portRef in (instanceRef inv_82)) (portRef in1 (instanceRef nor_51)) (portRef in1 (instanceRef nor_53)) (portRef q (instanceRef malu_reg1_reg)) (portRef in1 (instanceRef clain2_nand_27)) (portRef in1 (instanceRef er2f_nand_27)) (portRef in1 (instanceRef sel_20_nand_24)) ) ) (net NET119 (joined (portRef in1 (instanceRef nand_7)) (portRef out (instanceRef inv_81)) (portRef d (instanceRef if_0_reg)) ) ) (net NET120 (joined (portRef in3 (instanceRef nor_37)) (portRef in (instanceRef inv_81)) (portRef in1 (instanceRef nand_10)) (portRef q (instanceRef if_0_reg)) ) ) (net NET121 (joined (portRef in2 (instanceRef nand_9)) (portRef out (instanceRef nand_8)) ) ) (net NET122 (joined (portRef in2 (instanceRef nor_61)) (portRef in1 (instanceRef nor_22)) (portRef in4 (instanceRef nor_60)) (portRef out (instanceRef inv_80)) ) ) (net NET123 (joined (portRef in2 (instanceRef nand_27)) (portRef in1 (instanceRef nor_21)) (portRef in1 (instanceRef nor_20)) (portRef in1 (instanceRef nand_24)) (portRef in (instanceRef inv_80)) (portRef q (instanceRef op_reg13_reg)) (portRef d (instanceRef eop_reg13_reg)) ) ) (net NET124 (joined (portRef in2 (instanceRef nor_19)) (portRef out (instanceRef inv_79)) (portRef in1 (instanceRef aluo_nand_1)) ) ) (net NET125 (joined (portRef in (instanceRef inv_79)) (portRef out (instanceRef clain1_nand_1)) (portRef in1 (instanceRef cla_eor_8)) (portRef in1 (instanceRef aluo_nand_10)) ) ) (net NET126 (joined (portRef in1 (instanceRef nor_19)) (portRef out (instanceRef inv_78)) (portRef in1 (instanceRef sel_18_nand_3)) ) ) (net NET127 (joined (portRef in (instanceRef inv_78)) (portRef out (instanceRef clain2_nand_37)) (portRef in1 (instanceRef sel_18_nand_2)) ) ) (net NET128 (joined (portRef in2 (instanceRef nor_18)) (portRef out (instanceRef inv_77)) (portRef in1 (instanceRef aluo_nand_8)) ) ) (net NET129 (joined (portRef in (instanceRef inv_77)) (portRef out (instanceRef clain1_nand_17)) (portRef in2 (instanceRef cla_nor_11)) (portRef in2 (instanceRef cla_nand_13)) (portRef in1 (instanceRef cla_eor_7)) (portRef in1 (instanceRef aluo_nand_16)) ) ) (net NET130 (joined (portRef in1 (instanceRef nor_18)) (portRef out (instanceRef inv_76)) (portRef in1 (instanceRef sel_18_nand_18)) ) ) (net NET131 (joined (portRef in (instanceRef inv_76)) (portRef out (instanceRef clain2_nand_33)) (portRef in1 (instanceRef sel_18_nand_16)) ) ) (net NET132 (joined (portRef in2 (instanceRef nor_17)) (portRef out (instanceRef inv_75)) (portRef in1 (instanceRef aluo_nand_7)) (portRef in1 (instanceRef cla_nand_10)) ) ) (net NET133 (joined (portRef in (instanceRef inv_75)) (portRef out (instanceRef clain1_nand_23)) (portRef in1 (instanceRef cla_nand_7)) (portRef in1 (instanceRef cla_nand_8)) (portRef in1 (instanceRef cla_eor_6)) (portRef in1 (instanceRef aluo_nand_15)) ) ) (net NET134 (joined (portRef in1 (instanceRef nor_17)) (portRef out (instanceRef inv_74)) (portRef in1 (instanceRef sel_18_nand_22)) ) ) (net NET135 (joined (portRef in (instanceRef inv_74)) (portRef out (instanceRef clain2_nand_34)) (portRef in1 (instanceRef sel_18_nand_24)) ) ) (net NET136 (joined (portRef in2 (instanceRef nor_16)) (portRef out (instanceRef inv_73)) (portRef in1 (instanceRef aluo_nand_6)) (portRef in1 (instanceRef cla_nor_5)) ) ) (net NET137 (joined (portRef in (instanceRef inv_73)) (portRef out (instanceRef clain1_nand_20)) (portRef in1 (instanceRef cla_eor_5)) (portRef in1 (instanceRef aluo_nand_14)) ) ) (net NET138 (joined (portRef in1 (instanceRef nor_16)) (portRef out (instanceRef inv_72)) (portRef in1 (instanceRef sel_18_nand_19)) ) ) (net NET139 (joined (portRef in (instanceRef inv_72)) (portRef out (instanceRef clain2_nand_35)) (portRef in1 (instanceRef sel_18_nand_21)) ) ) (net NET140 (joined (portRef in2 (instanceRef nor_15)) (portRef out (instanceRef inv_71)) (portRef in1 (instanceRef aluo_nand_5)) ) ) (net NET141 (joined (portRef in (instanceRef inv_71)) (portRef out (instanceRef clain1_nand_14)) (portRef in1 (instanceRef cla_nor_16)) (portRef in1 (instanceRef cla_nand_14)) (portRef in1 (instanceRef cla_enor_3)) (portRef in1 (instanceRef aluo_nand_13)) ) ) (net NET142 (joined (portRef in1 (instanceRef nor_15)) (portRef out (instanceRef inv_70)) (portRef in1 (instanceRef sel_18_nand_13)) ) ) (net NET143 (joined (portRef in (instanceRef inv_70)) (portRef out (instanceRef clain2_nand_36)) (portRef in1 (instanceRef sel_18_nand_15)) ) ) (net NET144 (joined (portRef in2 (instanceRef nor_14)) (portRef out (instanceRef inv_69)) (portRef in1 (instanceRef aluo_nand_4)) ) ) (net NET145 (joined (portRef in (instanceRef inv_69)) (portRef out (instanceRef clain1_nand_11)) (portRef in2 (instanceRef cla_nor_17)) (portRef in2 (instanceRef cla_nand_15)) (portRef in1 (instanceRef cla_enor_2)) (portRef in1 (instanceRef aluo_nand_12)) ) ) (net NET146 (joined (portRef in1 (instanceRef nor_14)) (portRef out (instanceRef inv_68)) (portRef in1 (instanceRef sel_18_nand_10)) ) ) (net NET147 (joined (portRef in (instanceRef inv_68)) (portRef out (instanceRef clain2_nand_40)) (portRef in1 (instanceRef sel_18_nand_12)) ) ) (net NET148 (joined (portRef in2 (instanceRef nor_13)) (portRef out (instanceRef inv_67)) (portRef in1 (instanceRef aluo_nand_3)) (portRef in1 (instanceRef cla_nor_18)) (portRef in1 (instanceRef cla_nor_19)) ) ) (net NET149 (joined (portRef in (instanceRef inv_67)) (portRef out (instanceRef clain1_nand_8)) (portRef in1 (instanceRef cla_nor_9)) (portRef in2 (instanceRef cla_nand_18)) (portRef in2 (instanceRef cla_nor_8)) (portRef in1 (instanceRef cla_enor_1)) (portRef in1 (instanceRef aluo_nand_11)) ) ) (net NET150 (joined (portRef in1 (instanceRef nor_13)) (portRef out (instanceRef inv_66)) (portRef in1 (instanceRef sel_18_nand_7)) ) ) (net NET151 (joined (portRef in (instanceRef inv_66)) (portRef out (instanceRef clain2_nand_39)) (portRef in1 (instanceRef sel_18_nand_9)) ) ) (net NET152 (joined (portRef in2 (instanceRef nor_12)) (portRef out (instanceRef inv_65)) (portRef in1 (instanceRef aluo_nand_2)) ) ) (net NET153 (joined (portRef in (instanceRef inv_65)) (portRef out (instanceRef clain1_nand_5)) (portRef in1 (instanceRef cla_nand_1)) (portRef in2 (instanceRef cla_nand_16)) (portRef in2 (instanceRef cla_nor_2)) (portRef in1 (instanceRef cla_eor_1)) ) ) (net NET154 (joined (portRef in1 (instanceRef nor_12)) (portRef out (instanceRef inv_64)) (portRef in1 (instanceRef sel_18_nand_4)) ) ) (net NET155 (joined (portRef in (instanceRef inv_64)) (portRef out (instanceRef clain2_nand_38)) (portRef in1 (instanceRef sel_18_nand_6)) ) ) (net NET156 (joined (portRef in1 (instanceRef nand_16)) (portRef out (instanceRef inv_63)) ) ) (net NET157 (joined (portRef in (instanceRef inv_63)) (portRef in2 (instanceRef nor_5)) (portRef out (instanceRef enor_6)) ) ) (net NET158 (joined (portRef in2 (instanceRef nand_57)) (portRef out (instanceRef enor_11)) ) ) (net NET159 (joined (portRef in1 (instanceRef nand_57)) (portRef out (instanceRef enor_12)) ) ) (net NET160 (joined (portRef in2 (instanceRef nor_46)) (portRef out (instanceRef eor_23)) (portRef in2 (instanceRef nor_45_nor_1)) ) ) (net NET161 (joined (portRef in1 (instanceRef nor_46)) (portRef out (instanceRef eor_24)) (portRef in3 (instanceRef nor_45_nor_1)) ) ) (net NET162 (joined (portRef in2 (instanceRef nor_7)) (portRef q (instanceRef st2_reg)) ) ) (net NET163 (joined (portRef out (instanceRef nand_19)) (portRef in3 (instanceRef nor_55)) (portRef in3 (instanceRef nor_56)) (portRef in2 (instanceRef sel_9_nor_1)) ) ) (net NET164 (joined (portRef in2 (instanceRef nor_32)) (portRef q (instanceRef id__all_reg)) (portRef in1 (instanceRef id__all_nor_1)) ) ) (net NET165 (joined (portRef in3 (instanceRef nor_48)) (portRef in3 (instanceRef nor_47)) (portRef in3 (instanceRef nor_52)) (portRef out (instanceRef nand_64)) (portRef in3 (instanceRef nor_54)) ) ) (net NET166 (joined (portRef in3 (instanceRef nand_64)) (portRef out (instanceRef inv_62)) ) ) (net NET167 (joined (portRef in3 (instanceRef nor_49)) (portRef in3 (instanceRef nor_50)) (portRef in3 (instanceRef nor_51)) (portRef in3 (instanceRef nor_53)) (portRef in (instanceRef inv_62)) (portRef out (instanceRef nand_55)) ) ) (net NET168 (joined (portRef in3 (instanceRef nor_39)) (portRef in3 (instanceRef nor_40)) (portRef out (instanceRef inv_61)) ) ) (net NET169 (joined (portRef out (instanceRef nor_41)) (portRef in (instanceRef inv_61)) (portRef in2 (instanceRef sel_20_nand_37)) (portRef in2 (instanceRef sel_20_nand_44)) (portRef in2 (instanceRef sel_20_nand_43)) (portRef in2 (instanceRef sel_20_nand_42)) (portRef in2 (instanceRef sel_20_nand_41)) (portRef in2 (instanceRef sel_20_nand_40)) (portRef in2 (instanceRef sel_20_nand_39)) (portRef in2 (instanceRef sel_20_nand_38)) ) ) (net NET170 (joined (portRef in1 (instanceRef nand_54)) (portRef in1 (instanceRef nand_30)) (portRef in1 (instanceRef nand_64)) (portRef out (instanceRef inv_60)) ) ) (net NET171 (joined (portRef in1 (instanceRef nor_24)) (portRef in1 (instanceRef nor_39)) (portRef in1 (instanceRef nor_40)) (portRef in (instanceRef inv_60)) (portRef q (instanceRef mem__all_reg)) (portRef in1 (instanceRef mem__all_nor_1)) ) ) (net NET172 (joined (portRef in2 (instanceRef nand_54)) (portRef in2 (instanceRef nor_39)) (portRef out (instanceRef inv_59)) ) ) (net NET173 (joined (portRef in1 (instanceRef nor_23)) (portRef in (instanceRef inv_59)) (portRef in2 (instanceRef nand_64)) (portRef in2 (instanceRef nor_40)) (portRef q (instanceRef mop_reg2_reg)) ) ) (net NET174 (joined (portRef in2 (instanceRef nand_32)) (portRef in3 (instanceRef nand_55)) (portRef out (instanceRef inv_58)) ) ) (net NET175 (joined (portRef in (instanceRef inv_58)) (portRef in3 (instanceRef nor_41)) (portRef q (instanceRef mop_reg3_reg)) ) ) (net NET176 (joined (portRef in1 (instanceRef nor_41)) (portRef out (instanceRef inv_57)) ) ) (net NET177 (joined (portRef in1 (instanceRef nand_32)) (portRef in1 (instanceRef nand_55)) (portRef in (instanceRef inv_57)) (portRef q (instanceRef mop_reg5_reg)) ) ) (net NET178 (joined (portRef out (instanceRef nand_46)) (portRef in4 (instanceRef nor_63)) ) ) (net NET179 (joined (portRef in2 (instanceRef nor_63)) (portRef in2 (instanceRef nor_36)) (portRef out (instanceRef eor_17)) ) ) (net NET180 (joined (portRef in1 (instanceRef nor_63)) (portRef in1 (instanceRef nor_36)) (portRef out (instanceRef eor_16)) ) ) (net NET181 (joined (portRef in4 (instanceRef nand_59)) (portRef out (instanceRef enor_3)) ) ) (net NET182 (joined (portRef in1 (instanceRef nand_59)) (portRef out (instanceRef enor_2)) ) ) (net NET183 (joined (portRef in2 (instanceRef nor_2)) (portRef out (instanceRef inv_56)) (portRef in5 (instanceRef nor_45_nor_1)) ) ) (net NET184 (joined (portRef in3 (instanceRef nand_43)) (portRef in2 (instanceRef nand_46)) (portRef out (instanceRef nand_3)) (portRef in (instanceRef inv_56)) (portRef in2 (instanceRef dtop2_nand_8)) (portRef in2 (instanceRef dtop2_nand_7)) (portRef in2 (instanceRef dtop2_nand_6)) (portRef in2 (instanceRef dtop2_nand_5)) (portRef in2 (instanceRef dtop2_nand_4)) (portRef in2 (instanceRef dtop2_nand_3)) (portRef in2 (instanceRef dtop2_nand_2)) (portRef in2 (instanceRef dtop2_nand_1)) ) ) (net NET185 (joined (portRef in3 (instanceRef nand_45)) (portRef out (instanceRef inv_55)) ) ) (net NET186 (joined (portRef in (instanceRef inv_55)) (portRef out (instanceRef nor_36)) ) ) (net NET187 (joined (portRef in2 (instanceRef nand_47)) (portRef out (instanceRef enor_5)) ) ) (net NET188 (joined (portRef in1 (instanceRef nand_47)) (portRef out (instanceRef enor_4)) ) ) (net NET189 (joined (portRef in8 (instanceRef nor_67)) (portRef out (instanceRef er2f_nand_37)) (portRef d (instanceRef msrc_reg7_reg)) (portRef in1 (instanceRef clain1_nand_2)) ) ) (net NET190 (joined (portRef in7 (instanceRef nor_67)) (portRef out (instanceRef er2f_nand_33)) (portRef d (instanceRef msrc_reg6_reg)) (portRef in1 (instanceRef clain1_nand_16)) ) ) (net NET191 (joined (portRef in6 (instanceRef nor_67)) (portRef out (instanceRef er2f_nand_34)) (portRef d (instanceRef msrc_reg5_reg)) (portRef in1 (instanceRef clain1_nand_24)) ) ) (net NET192 (joined (portRef in5 (instanceRef nor_67)) (portRef out (instanceRef er2f_nand_35)) (portRef d (instanceRef msrc_reg4_reg)) (portRef in1 (instanceRef clain1_nand_21)) ) ) (net NET193 (joined (portRef in4 (instanceRef nor_67)) (portRef out (instanceRef er2f_nand_36)) (portRef d (instanceRef msrc_reg3_reg)) (portRef in1 (instanceRef clain1_nand_15)) ) ) (net NET194 (joined (portRef in3 (instanceRef nor_67)) (portRef out (instanceRef er2f_nand_40)) (portRef d (instanceRef msrc_reg2_reg)) (portRef in1 (instanceRef clain1_nand_12)) ) ) (net NET195 (joined (portRef in2 (instanceRef nor_67)) (portRef out (instanceRef er2f_nand_39)) (portRef d (instanceRef msrc_reg1_reg)) (portRef in1 (instanceRef clain1_nand_9)) ) ) (net NET196 (joined (portRef in1 (instanceRef nor_67)) (portRef out (instanceRef er2f_nand_38)) (portRef d (instanceRef msrc_reg0_reg)) (portRef in1 (instanceRef clain1_nand_6)) ) ) (net NET197 (joined (portRef in1 (instanceRef nand__2_52)) (portRef in3 (instanceRef nor__4_29)) (portRef q (instanceRef eop_reg13_reg)) (portRef in1 (instanceRef sel_17_nand_14)) (portRef in1 (instanceRef sel_17_nand_13)) ) ) (net NET198 (joined (portRef in2 (instanceRef clain1_nand_2)) (portRef in2 (instanceRef clain1_nand_16)) (portRef in2 (instanceRef clain1_nand_24)) (portRef in2 (instanceRef clain1_nand_21)) (portRef in2 (instanceRef clain1_nand_15)) (portRef in2 (instanceRef clain1_nand_12)) (portRef in2 (instanceRef clain1_nand_9)) (portRef in2 (instanceRef clain1_nand_6)) (portRef out (instanceRef inv__56)) (portRef in4 (instanceRef nor__4_29)) ) ) (net NET199 (joined (portRef in (instanceRef inv__56)) (portRef in2 (instanceRef nand__2_52)) (portRef q (instanceRef eop_reg15_reg)) (portRef in1 (instanceRef sel_17_nand_2)) (portRef in1 (instanceRef sel_17_nand_3)) (portRef in2 (instanceRef clain1_nand_3)) (portRef in2 (instanceRef clain1_nand_18)) (portRef in2 (instanceRef clain1_nand_22)) (portRef in2 (instanceRef clain1_nand_19)) (portRef in2 (instanceRef clain1_nand_13)) (portRef in2 (instanceRef clain1_nand_10)) (portRef in2 (instanceRef clain1_nand_7)) (portRef in2 (instanceRef clain1_nand_4)) ) ) (net NET200 (joined (portRef in2 (instanceRef nor_6)) (portRef out (instanceRef nand_18)) ) ) (net NET201 (joined (portRef out (instanceRef nand_16)) (portRef in1 (instanceRef nor_6)) ) ) (net NET202 (joined (portRef out (instanceRef nand_17)) (portRef in1 (instanceRef nor_5)) ) ) (net NET203 (joined (portRef in1 (instanceRef nor_4)) (portRef out (instanceRef nor_5)) (portRef d (instanceRef dtif_reg1_reg)) ) ) (net NET204 (joined (portRef in2 (instanceRef nand_44)) (portRef out (instanceRef inv_52)) (portRef in1 (instanceRef gr_nor_2)) (portRef in1 (instanceRef gr_nor_5)) ) ) (net NET205 (joined (portRef in (instanceRef inv_52)) (portRef in2 (instanceRef nor_1)) (portRef in1 (instanceRef enor_2)) (portRef in1 (instanceRef eor_16)) (portRef in1 (instanceRef eor_23)) (portRef q (instanceRef op_reg8_reg)) (portRef in1 (instanceRef gr_nor_4)) ) ) (net NET206 (joined (portRef in3 (instanceRef nand_44)) (portRef out (instanceRef inv_51)) (portRef in2 (instanceRef gr_nor_2)) (portRef in2 (instanceRef gr_nor_4)) ) ) (net NET207 (joined (portRef in (instanceRef inv_51)) (portRef in1 (instanceRef nor_1)) (portRef in1 (instanceRef enor_3)) (portRef in1 (instanceRef eor_17)) (portRef in1 (instanceRef eor_24)) (portRef q (instanceRef op_reg9_reg)) (portRef in2 (instanceRef gr_nor_5)) ) ) (net NET208 (joined (portRef q (instanceRef alusel_reg0_reg)) (portRef in2 (instanceRef sel_18_nand_2)) (portRef in2 (instanceRef sel_18_nand_16)) (portRef in2 (instanceRef sel_18_nand_24)) (portRef in2 (instanceRef sel_18_nand_21)) (portRef in2 (instanceRef sel_18_nand_15)) (portRef in2 (instanceRef sel_18_nand_12)) (portRef in2 (instanceRef sel_18_nand_9)) (portRef in2 (instanceRef sel_18_nand_6)) (portRef in2 (instanceRef aluo_nand_9)) (portRef in2 (instanceRef aluo_nand_18)) (portRef in2 (instanceRef aluo_nand_17)) (portRef in2 (instanceRef aluo_nand_23)) (portRef in2 (instanceRef aluo_nand_22)) (portRef in2 (instanceRef aluo_nand_21)) (portRef in2 (instanceRef aluo_nand_20)) (portRef in2 (instanceRef aluo_nand_19)) ) ) (net NET209 (joined (portRef q (instanceRef alusel_reg1_reg)) (portRef in2 (instanceRef sel_18_nand_3)) (portRef in2 (instanceRef sel_18_nand_18)) (portRef in2 (instanceRef sel_18_nand_22)) (portRef in2 (instanceRef sel_18_nand_19)) (portRef in2 (instanceRef sel_18_nand_13)) (portRef in2 (instanceRef sel_18_nand_10)) (portRef in2 (instanceRef sel_18_nand_7)) (portRef in2 (instanceRef sel_18_nand_4)) (portRef in2 (instanceRef aluo_nand_32)) (portRef in1 (instanceRef cla_nand_4)) (portRef in2 (instanceRef cla_eor_2)) (portRef in1 (instanceRef cla_nor_1)) (portRef in1 (instanceRef cla_nand_2)) ) ) (net NET210 (joined (portRef in (instanceRef inv__61)) (portRef in2 (instanceRef aoi__21_50_nor)) (portRef q (instanceRef exec__all_reg)) ) ) (net NET211 (joined (portRef in1 (instanceRef nor_58)) (portRef out (instanceRef eor_9)) ) ) (net NET212 (joined (portRef in2 (instanceRef nor_58)) (portRef out (instanceRef eor_10)) ) ) (net NET213 (joined (portRef in3 (instanceRef nor_58)) (portRef out (instanceRef eor_11)) ) ) (net NET214 (joined (portRef in1 (instanceRef nor_62)) (portRef out (instanceRef eor_12)) ) ) (net NET215 (joined (portRef in2 (instanceRef nor_62)) (portRef out (instanceRef eor_13)) ) ) (net NET216 (joined (portRef in3 (instanceRef nor_62)) (portRef out (instanceRef eor_14)) ) ) (net NET217 (joined (portRef in4 (instanceRef nor_62)) (portRef out (instanceRef eor_15)) ) ) (net NET218 (joined (portRef in2 (instanceRef nand_23)) (portRef out (instanceRef enor_1)) ) ) (net NET219 (joined (portRef in8 (instanceRef nor_66)) (portRef out (instanceRef eor_1)) ) ) (net NET220 (joined (portRef in7 (instanceRef nor_66)) (portRef out (instanceRef eor_2)) ) ) (net NET221 (joined (portRef in6 (instanceRef nor_66)) (portRef out (instanceRef eor_3)) ) ) (net NET222 (joined (portRef in5 (instanceRef nor_66)) (portRef out (instanceRef eor_4)) ) ) (net NET223 (joined (portRef in4 (instanceRef nor_66)) (portRef out (instanceRef eor_5)) ) ) (net NET224 (joined (portRef in3 (instanceRef nor_66)) (portRef out (instanceRef eor_6)) ) ) (net NET225 (joined (portRef in2 (instanceRef nor_66)) (portRef out (instanceRef eor_7)) ) ) (net NET226 (joined (portRef in1 (instanceRef nor_66)) (portRef out (instanceRef eor_8)) ) ) (net NET227 (joined (portRef in2 (instanceRef nand_12)) (portRef out (instanceRef inv_48)) ) ) (net NET228 (joined (portRef in (instanceRef inv_48)) (portRef in2 (instanceRef nor_9)) (portRef q (instanceRef cntintflag_reg)) (portRef in1 (instanceRef sel_20_nand_4)) ) ) (net NET229 (joined (portRef in1 (instanceRef nor_9)) (portRef out (instanceRef inv_47)) (portRef in1 (instanceRef sel_20_nand_1)) ) ) (net NET230 (joined (portRef in1 (instanceRef nand_12)) (portRef in (instanceRef inv_47)) (portRef q (instanceRef int_intt_reg)) (portRef in1 (instanceRef int_intt_nor_1)) ) ) (net NET231 (joined (portRef in2 (instanceRef nor_8)) (portRef out (instanceRef inv_46)) ) ) (net NET232 (joined (portRef in1 (instanceRef nand_48)) (portRef in (instanceRef inv_46)) (portRef q (instanceRef inten_reg)) (portRef in1 (instanceRef sel_20_nand_2)) ) ) (net NET233 (joined (portRef in1 (instanceRef nor_8)) (portRef out (instanceRef nor_9)) ) ) (net NET234 (joined (portRef in2 (instanceRef nor_38)) (portRef out (instanceRef nor_8)) ) ) (net NET235 (joined (portRef in8 (instanceRef nor_65)) (portRef q (instanceRef ir1_reg7_reg)) (portRef in1 (instanceRef dec_enor_4)) (portRef in1 (instanceRef sel_20_nand_29)) ) ) (net NET236 (joined (portRef out (instanceRef inv_45)) (portRef in1 (instanceRef dec_nand_3)) ) ) (net NET237 (joined (portRef in (instanceRef inv_45)) (portRef in7 (instanceRef nor_65)) (portRef q (instanceRef ir1_reg6_reg)) (portRef in1 (instanceRef dec_eor_3)) (portRef in1 (instanceRef sel_20_nand_36)) ) ) (net NET238 (joined (portRef in6 (instanceRef nor_65)) (portRef q (instanceRef ir1_reg5_reg)) (portRef in1 (instanceRef dec_nor_3)) (portRef in1 (instanceRef dec_enor_3)) (portRef in1 (instanceRef sel_20_nand_35)) ) ) (net NET239 (joined (portRef out (instanceRef inv_44)) (portRef in1 (instanceRef dec_nand_2)) ) ) (net NET240 (joined (portRef in (instanceRef inv_44)) (portRef in5 (instanceRef nor_65)) (portRef q (instanceRef ir1_reg4_reg)) (portRef in1 (instanceRef dec_eor_2)) (portRef in1 (instanceRef sel_20_nand_34)) ) ) (net NET241 (joined (portRef in4 (instanceRef nor_65)) (portRef q (instanceRef ir1_reg3_reg)) (portRef in1 (instanceRef dec_nor_2)) (portRef in1 (instanceRef dec_enor_2)) (portRef in1 (instanceRef sel_20_nand_33)) ) ) (net NET242 (joined (portRef out (instanceRef inv_43)) (portRef in1 (instanceRef dec_nand_1)) ) ) (net NET243 (joined (portRef in (instanceRef inv_43)) (portRef in3 (instanceRef nor_65)) (portRef q (instanceRef ir1_reg2_reg)) (portRef in1 (instanceRef dec_eor_1)) (portRef in1 (instanceRef sel_20_nand_32)) ) ) (net NET244 (joined (portRef in2 (instanceRef nor_65)) (portRef q (instanceRef ir1_reg1_reg)) (portRef in1 (instanceRef dec_nor_1)) (portRef in1 (instanceRef dec_enor_1)) (portRef in1 (instanceRef sel_20_nand_31)) ) ) (net NET245 (joined (portRef in1 (instanceRef nor_37)) (portRef in1 (instanceRef nor_3)) (portRef q (instanceRef if__all_reg)) (portRef in1 (instanceRef if__all_nor_1)) ) ) (net NET246 (joined (portRef out (instanceRef nor_53)) (portRef in2 (instanceRef sel_20_nand_1)) (portRef in2 (instanceRef sel_20_nand_4)) (portRef in2 (instanceRef sel_20_nand_3)) (portRef in2 (instanceRef sel_20_nand_2)) ) ) (net NET247 (joined (portRef in1 (instanceRef sel_20_nand_37)) (portRef dti_7_) ) ) (net NET248 (joined (portRef in1 (instanceRef sel_20_nand_44)) (portRef dti_6_) ) ) (net NET249 (joined (portRef in1 (instanceRef sel_20_nand_43)) (portRef dti_5_) ) ) (net NET250 (joined (portRef in1 (instanceRef sel_20_nand_42)) (portRef dti_4_) ) ) (net NET251 (joined (portRef in1 (instanceRef sel_20_nand_41)) (portRef dti_3_) ) ) (net NET252 (joined (portRef in1 (instanceRef sel_20_nand_40)) (portRef dti_2_) ) ) (net NET253 (joined (portRef in1 (instanceRef sel_20_nand_39)) (portRef dti_1_) ) ) (net NET254 (joined (portRef in1 (instanceRef sel_20_nand_38)) (portRef dti_0_) ) ) (net NET255 (joined (portRef out (instanceRef nor_51)) (portRef in2 (instanceRef sel_20_nand_29)) (portRef in2 (instanceRef sel_20_nand_36)) (portRef in2 (instanceRef sel_20_nand_35)) (portRef in2 (instanceRef sel_20_nand_34)) (portRef in2 (instanceRef sel_20_nand_33)) (portRef in2 (instanceRef sel_20_nand_32)) (portRef in2 (instanceRef sel_20_nand_31)) (portRef in2 (instanceRef sel_20_nand_30)) ) ) (net NET256 (joined (portRef out (instanceRef nor_50)) (portRef in2 (instanceRef sel_20_nand_7)) (portRef in2 (instanceRef sel_20_nand_14)) (portRef in2 (instanceRef sel_20_nand_13)) (portRef in2 (instanceRef sel_20_nand_12)) (portRef in2 (instanceRef sel_20_nand_11)) (portRef in2 (instanceRef sel_20_nand_10)) (portRef in2 (instanceRef sel_20_nand_9)) (portRef in2 (instanceRef sel_20_nand_8)) ) ) (net NET257 (joined (portRef q (instanceRef ir2_reg7_reg)) (portRef in1 (instanceRef targetpc_nand_17)) (portRef in1 (instanceRef sel_20_nand_7)) ) ) (net NET258 (joined (portRef q (instanceRef ir2_reg6_reg)) (portRef in1 (instanceRef targetpc_nand_24)) (portRef in1 (instanceRef sel_20_nand_14)) ) ) (net NET259 (joined (portRef q (instanceRef ir2_reg5_reg)) (portRef in1 (instanceRef targetpc_nand_23)) (portRef in1 (instanceRef sel_20_nand_13)) ) ) (net NET260 (joined (portRef q (instanceRef ir2_reg4_reg)) (portRef in1 (instanceRef targetpc_nand_22)) (portRef in1 (instanceRef sel_20_nand_12)) ) ) (net NET261 (joined (portRef q (instanceRef ir2_reg3_reg)) (portRef in1 (instanceRef targetpc_nand_21)) (portRef in1 (instanceRef sel_20_nand_11)) ) ) (net NET262 (joined (portRef q (instanceRef ir2_reg2_reg)) (portRef in1 (instanceRef targetpc_nand_20)) (portRef in1 (instanceRef sel_20_nand_10)) ) ) (net NET263 (joined (portRef q (instanceRef ir2_reg1_reg)) (portRef in1 (instanceRef targetpc_nand_19)) (portRef in1 (instanceRef sel_20_nand_9)) ) ) (net NET264 (joined (portRef q (instanceRef ir2_reg0_reg)) (portRef in1 (instanceRef targetpc_nand_18)) (portRef in1 (instanceRef sel_20_nand_8)) ) ) (net NET265 (joined (portRef out (instanceRef nor_49)) (portRef in2 (instanceRef sel_20_nand_15)) (portRef in2 (instanceRef sel_20_nand_6)) (portRef in2 (instanceRef sel_20_nand_21)) (portRef in2 (instanceRef sel_20_nand_20)) (portRef in2 (instanceRef sel_20_nand_19)) (portRef in2 (instanceRef sel_20_nand_18)) (portRef in2 (instanceRef sel_20_nand_17)) (portRef in2 (instanceRef sel_20_nand_16)) ) ) (net NET266 (joined (portRef q (instanceRef ir3_reg7_reg)) (portRef in1 (instanceRef targetpc_nand_25)) (portRef in1 (instanceRef sel_20_nand_15)) ) ) (net NET267 (joined (portRef q (instanceRef ir3_reg6_reg)) (portRef in1 (instanceRef targetpc_nand_32)) (portRef in1 (instanceRef sel_20_nand_6)) ) ) (net NET268 (joined (portRef q (instanceRef ir3_reg5_reg)) (portRef in1 (instanceRef targetpc_nand_31)) (portRef in1 (instanceRef sel_20_nand_21)) ) ) (net NET269 (joined (portRef q (instanceRef ir3_reg4_reg)) (portRef in1 (instanceRef targetpc_nand_30)) (portRef in1 (instanceRef sel_20_nand_20)) ) ) (net NET270 (joined (portRef q (instanceRef ir3_reg3_reg)) (portRef in1 (instanceRef targetpc_nand_29)) (portRef in1 (instanceRef sel_20_nand_19)) ) ) (net NET271 (joined (portRef q (instanceRef ir3_reg2_reg)) (portRef in1 (instanceRef targetpc_nand_28)) (portRef in1 (instanceRef sel_20_nand_18)) ) ) (net NET272 (joined (portRef q (instanceRef ir3_reg1_reg)) (portRef in1 (instanceRef targetpc_nand_27)) (portRef in1 (instanceRef sel_20_nand_17)) ) ) (net NET273 (joined (portRef q (instanceRef ir3_reg0_reg)) (portRef in1 (instanceRef targetpc_nand_26)) (portRef in1 (instanceRef sel_20_nand_16)) ) ) (net NET274 (joined (portRef q (instanceRef malu_reg7_reg)) (portRef in1 (instanceRef clain2_nand_25)) (portRef in1 (instanceRef er2f_nand_25)) (portRef in1 (instanceRef sel_20_nand_22)) ) ) (net NET275 (joined (portRef q (instanceRef malu_reg6_reg)) (portRef in1 (instanceRef clain2_nand_32)) (portRef in1 (instanceRef er2f_nand_32)) (portRef in1 (instanceRef sel_20_nand_5)) ) ) (net NET276 (joined (portRef q (instanceRef malu_reg5_reg)) (portRef in1 (instanceRef clain2_nand_31)) (portRef in1 (instanceRef er2f_nand_31)) (portRef in1 (instanceRef sel_20_nand_28)) ) ) (net NET277 (joined (portRef q (instanceRef malu_reg4_reg)) (portRef in1 (instanceRef clain2_nand_30)) (portRef in1 (instanceRef er2f_nand_30)) (portRef in1 (instanceRef sel_20_nand_27)) ) ) (net NET278 (joined (portRef in1 (instanceRef nor_25)) (portRef q (instanceRef malu_reg3_reg)) (portRef in1 (instanceRef clain2_nand_29)) (portRef in1 (instanceRef er2f_nand_29)) (portRef in1 (instanceRef sel_20_nand_26)) ) ) (net NET279 (joined (portRef in2 (instanceRef nor_25)) (portRef q (instanceRef malu_reg2_reg)) (portRef in1 (instanceRef clain2_nand_28)) (portRef in1 (instanceRef er2f_nand_28)) (portRef in1 (instanceRef sel_20_nand_25)) ) ) (net NET280 (joined (portRef out (instanceRef sel_20_nand_46)) (portRef d (instanceRef walu_reg7_reg)) ) ) (net NET281 (joined (portRef out (instanceRef sel_20_nand_45)) (portRef d (instanceRef walu_reg6_reg)) ) ) (net NET282 (joined (portRef out (instanceRef sel_20_nand_51)) (portRef d (instanceRef walu_reg5_reg)) ) ) (net NET283 (joined (portRef out (instanceRef sel_20_nand_50)) (portRef d (instanceRef walu_reg4_reg)) ) ) (net NET284 (joined (portRef out (instanceRef sel_20_nand_49)) (portRef d (instanceRef walu_reg3_reg)) ) ) (net NET285 (joined (portRef out (instanceRef sel_20_nand_52)) (portRef d (instanceRef walu_reg2_reg)) ) ) (net NET286 (joined (portRef out (instanceRef sel_20_nand_48)) (portRef d (instanceRef walu_reg1_reg)) ) ) (net NET287 (joined (portRef out (instanceRef sel_20_nand_47)) (portRef d (instanceRef walu_reg0_reg)) ) ) (net NET288 (joined (portRef q (instanceRef clasel1_reg0_reg)) (portRef in2 (instanceRef er2f_nand_1)) (portRef in2 (instanceRef er2f_nand_8)) (portRef in2 (instanceRef er2f_nand_7)) (portRef in2 (instanceRef er2f_nand_6)) (portRef in2 (instanceRef er2f_nand_5)) (portRef in2 (instanceRef er2f_nand_4)) (portRef in2 (instanceRef er2f_nand_3)) (portRef in2 (instanceRef er2f_nand_2)) ) ) (net NET289 (joined (portRef q (instanceRef eop1_reg7_reg)) (portRef in1 (instanceRef er2f_nand_1)) ) ) (net NET290 (joined (portRef q (instanceRef eop1_reg6_reg)) (portRef in1 (instanceRef er2f_nand_8)) ) ) (net NET291 (joined (portRef q (instanceRef eop1_reg5_reg)) (portRef in1 (instanceRef er2f_nand_7)) ) ) (net NET292 (joined (portRef q (instanceRef eop1_reg4_reg)) (portRef in1 (instanceRef er2f_nand_6)) ) ) (net NET293 (joined (portRef q (instanceRef eop1_reg3_reg)) (portRef in1 (instanceRef er2f_nand_5)) ) ) (net NET294 (joined (portRef q (instanceRef eop1_reg2_reg)) (portRef in1 (instanceRef er2f_nand_4)) ) ) (net NET295 (joined (portRef q (instanceRef eop1_reg1_reg)) (portRef in1 (instanceRef er2f_nand_3)) ) ) (net NET296 (joined (portRef q (instanceRef eop1_reg0_reg)) (portRef in1 (instanceRef er2f_nand_2)) ) ) (net NET297 (joined (portRef q (instanceRef clasel1_reg1_reg)) (portRef in2 (instanceRef er2f_nand_25)) (portRef in2 (instanceRef er2f_nand_32)) (portRef in2 (instanceRef er2f_nand_31)) (portRef in2 (instanceRef er2f_nand_30)) (portRef in2 (instanceRef er2f_nand_29)) (portRef in2 (instanceRef er2f_nand_28)) (portRef in2 (instanceRef er2f_nand_27)) (portRef in2 (instanceRef er2f_nand_26)) ) ) (net NET298 (joined (portRef q (instanceRef clasel1_reg2_reg)) (portRef in2 (instanceRef er2f_nand_9)) (portRef in2 (instanceRef er2f_nand_16)) (portRef in2 (instanceRef er2f_nand_15)) (portRef in2 (instanceRef er2f_nand_14)) (portRef in2 (instanceRef er2f_nand_13)) (portRef in2 (instanceRef er2f_nand_12)) (portRef in2 (instanceRef er2f_nand_11)) (portRef in2 (instanceRef er2f_nand_10)) ) ) (net NET299 (joined (portRef q (instanceRef walu_reg7_reg)) (portRef d (instanceRef xalu_reg7_reg)) (portRef d (instanceRef gr_r0_reg7_reg)) (portRef d (instanceRef gr_r1_reg7_reg)) (portRef d (instanceRef gr_r2_reg7_reg)) (portRef d (instanceRef gr_r3_reg7_reg)) (portRef in1 (instanceRef clain2_nand_9)) (portRef in1 (instanceRef er2f_nand_9)) ) ) (net NET300 (joined (portRef q (instanceRef walu_reg6_reg)) (portRef d (instanceRef xalu_reg6_reg)) (portRef d (instanceRef gr_r0_reg6_reg)) (portRef d (instanceRef gr_r1_reg6_reg)) (portRef d (instanceRef gr_r2_reg6_reg)) (portRef d (instanceRef gr_r3_reg6_reg)) (portRef in1 (instanceRef clain2_nand_16)) (portRef in1 (instanceRef er2f_nand_16)) ) ) (net NET301 (joined (portRef q (instanceRef walu_reg5_reg)) (portRef d (instanceRef xalu_reg5_reg)) (portRef d (instanceRef gr_r0_reg5_reg)) (portRef d (instanceRef gr_r1_reg5_reg)) (portRef d (instanceRef gr_r2_reg5_reg)) (portRef d (instanceRef gr_r3_reg5_reg)) (portRef in1 (instanceRef clain2_nand_15)) (portRef in1 (instanceRef er2f_nand_15)) ) ) (net NET302 (joined (portRef q (instanceRef walu_reg4_reg)) (portRef d (instanceRef xalu_reg4_reg)) (portRef d (instanceRef gr_r0_reg4_reg)) (portRef d (instanceRef gr_r1_reg4_reg)) (portRef d (instanceRef gr_r2_reg4_reg)) (portRef d (instanceRef gr_r3_reg4_reg)) (portRef in1 (instanceRef clain2_nand_14)) (portRef in1 (instanceRef er2f_nand_14)) ) ) (net NET303 (joined (portRef q (instanceRef walu_reg3_reg)) (portRef d (instanceRef xalu_reg3_reg)) (portRef d (instanceRef gr_r0_reg3_reg)) (portRef d (instanceRef gr_r1_reg3_reg)) (portRef d (instanceRef gr_r2_reg3_reg)) (portRef d (instanceRef gr_r3_reg3_reg)) (portRef in1 (instanceRef clain2_nand_13)) (portRef in1 (instanceRef er2f_nand_13)) ) ) (net NET304 (joined (portRef q (instanceRef walu_reg2_reg)) (portRef d (instanceRef xalu_reg2_reg)) (portRef d (instanceRef gr_r0_reg2_reg)) (portRef d (instanceRef gr_r1_reg2_reg)) (portRef d (instanceRef gr_r2_reg2_reg)) (portRef d (instanceRef gr_r3_reg2_reg)) (portRef in1 (instanceRef clain2_nand_12)) (portRef in1 (instanceRef er2f_nand_12)) ) ) (net NET305 (joined (portRef q (instanceRef walu_reg1_reg)) (portRef d (instanceRef xalu_reg1_reg)) (portRef d (instanceRef gr_r0_reg1_reg)) (portRef d (instanceRef gr_r1_reg1_reg)) (portRef d (instanceRef gr_r2_reg1_reg)) (portRef d (instanceRef gr_r3_reg1_reg)) (portRef in1 (instanceRef clain2_nand_11)) (portRef in1 (instanceRef er2f_nand_11)) ) ) (net NET306 (joined (portRef q (instanceRef walu_reg0_reg)) (portRef d (instanceRef xalu_reg0_reg)) (portRef d (instanceRef gr_r0_reg0_reg)) (portRef d (instanceRef gr_r1_reg0_reg)) (portRef d (instanceRef gr_r2_reg0_reg)) (portRef d (instanceRef gr_r3_reg0_reg)) (portRef in1 (instanceRef clain2_nand_10)) (portRef in1 (instanceRef er2f_nand_10)) ) ) (net NET307 (joined (portRef q (instanceRef clasel1_reg3_reg)) (portRef in2 (instanceRef er2f_nand_17)) (portRef in2 (instanceRef er2f_nand_24)) (portRef in2 (instanceRef er2f_nand_23)) (portRef in2 (instanceRef er2f_nand_22)) (portRef in2 (instanceRef er2f_nand_21)) (portRef in2 (instanceRef er2f_nand_20)) (portRef in2 (instanceRef er2f_nand_19)) (portRef in2 (instanceRef er2f_nand_18)) ) ) (net NET308 (joined (portRef q (instanceRef xalu_reg7_reg)) (portRef in1 (instanceRef clain2_nand_17)) (portRef in1 (instanceRef er2f_nand_17)) ) ) (net NET309 (joined (portRef q (instanceRef xalu_reg6_reg)) (portRef in1 (instanceRef clain2_nand_24)) (portRef in1 (instanceRef er2f_nand_24)) ) ) (net NET310 (joined (portRef q (instanceRef xalu_reg5_reg)) (portRef in1 (instanceRef clain2_nand_23)) (portRef in1 (instanceRef er2f_nand_23)) ) ) (net NET311 (joined (portRef q (instanceRef xalu_reg4_reg)) (portRef in1 (instanceRef clain2_nand_22)) (portRef in1 (instanceRef er2f_nand_22)) ) ) (net NET312 (joined (portRef q (instanceRef xalu_reg3_reg)) (portRef in1 (instanceRef clain2_nand_21)) (portRef in1 (instanceRef er2f_nand_21)) ) ) (net NET313 (joined (portRef q (instanceRef xalu_reg2_reg)) (portRef in1 (instanceRef clain2_nand_20)) (portRef in1 (instanceRef er2f_nand_20)) ) ) (net NET314 (joined (portRef q (instanceRef xalu_reg1_reg)) (portRef in1 (instanceRef clain2_nand_19)) (portRef in1 (instanceRef er2f_nand_19)) ) ) (net NET315 (joined (portRef q (instanceRef xalu_reg0_reg)) (portRef in1 (instanceRef clain2_nand_18)) (portRef in1 (instanceRef er2f_nand_18)) ) ) (net NET316 (joined (portRef q (instanceRef clasel2_reg0_reg)) (portRef in2 (instanceRef clain2_nand_1)) (portRef in2 (instanceRef clain2_nand_8)) (portRef in2 (instanceRef clain2_nand_7)) (portRef in2 (instanceRef clain2_nand_6)) (portRef in2 (instanceRef clain2_nand_5)) (portRef in2 (instanceRef clain2_nand_4)) (portRef in2 (instanceRef clain2_nand_3)) (portRef in2 (instanceRef clain2_nand_2)) ) ) (net NET317 (joined (portRef q (instanceRef eop2_reg7_reg)) (portRef in1 (instanceRef clain2_nand_1)) ) ) (net NET318 (joined (portRef q (instanceRef eop2_reg6_reg)) (portRef in1 (instanceRef clain2_nand_8)) ) ) (net NET319 (joined (portRef q (instanceRef eop2_reg5_reg)) (portRef in1 (instanceRef clain2_nand_7)) ) ) (net NET320 (joined (portRef q (instanceRef eop2_reg4_reg)) (portRef in1 (instanceRef clain2_nand_6)) ) ) (net NET321 (joined (portRef q (instanceRef eop2_reg3_reg)) (portRef in1 (instanceRef clain2_nand_5)) ) ) (net NET322 (joined (portRef q (instanceRef eop2_reg2_reg)) (portRef in1 (instanceRef clain2_nand_4)) ) ) (net NET323 (joined (portRef q (instanceRef eop2_reg1_reg)) (portRef in1 (instanceRef clain2_nand_3)) ) ) (net NET324 (joined (portRef q (instanceRef eop2_reg0_reg)) (portRef in1 (instanceRef clain2_nand_2)) ) ) (net NET325 (joined (portRef q (instanceRef clasel2_reg1_reg)) (portRef in2 (instanceRef clain2_nand_25)) (portRef in2 (instanceRef clain2_nand_32)) (portRef in2 (instanceRef clain2_nand_31)) (portRef in2 (instanceRef clain2_nand_30)) (portRef in2 (instanceRef clain2_nand_29)) (portRef in2 (instanceRef clain2_nand_28)) (portRef in2 (instanceRef clain2_nand_27)) (portRef in2 (instanceRef clain2_nand_26)) ) ) (net NET326 (joined (portRef q (instanceRef clasel2_reg2_reg)) (portRef in2 (instanceRef clain2_nand_9)) (portRef in2 (instanceRef clain2_nand_16)) (portRef in2 (instanceRef clain2_nand_15)) (portRef in2 (instanceRef clain2_nand_14)) (portRef in2 (instanceRef clain2_nand_13)) (portRef in2 (instanceRef clain2_nand_12)) (portRef in2 (instanceRef clain2_nand_11)) (portRef in2 (instanceRef clain2_nand_10)) ) ) (net NET327 (joined (portRef q (instanceRef clasel2_reg3_reg)) (portRef in2 (instanceRef clain2_nand_17)) (portRef in2 (instanceRef clain2_nand_24)) (portRef in2 (instanceRef clain2_nand_23)) (portRef in2 (instanceRef clain2_nand_22)) (portRef in2 (instanceRef clain2_nand_21)) (portRef in2 (instanceRef clain2_nand_20)) (portRef in2 (instanceRef clain2_nand_19)) (portRef in2 (instanceRef clain2_nand_18)) ) ) (net NET328 (joined (portRef q (instanceRef alusel_reg3_reg)) (portRef in2 (instanceRef aluo_nand_1)) (portRef in2 (instanceRef aluo_nand_8)) (portRef in2 (instanceRef aluo_nand_7)) (portRef in2 (instanceRef aluo_nand_6)) (portRef in2 (instanceRef aluo_nand_5)) (portRef in2 (instanceRef aluo_nand_4)) (portRef in2 (instanceRef aluo_nand_3)) (portRef in2 (instanceRef aluo_nand_2)) ) ) (net NET329 (joined (portRef q (instanceRef alusel_reg4_reg)) (portRef in2 (instanceRef aluo_nand_10)) (portRef in2 (instanceRef aluo_nand_16)) (portRef in2 (instanceRef aluo_nand_15)) (portRef in2 (instanceRef aluo_nand_14)) (portRef in2 (instanceRef aluo_nand_13)) (portRef in2 (instanceRef aluo_nand_12)) (portRef in2 (instanceRef aluo_nand_11)) ) ) (net NET330 (joined (portRef in2 (instanceRef eor_8)) (portRef out (instanceRef cla_eor_8)) (portRef d (instanceRef btb_reg7_reg)) (portRef d (instanceRef mar_reg7_reg)) (portRef in1 (instanceRef targetpc_nand_1)) (portRef in1 (instanceRef aluo_nand_9)) (portRef in1 (instanceRef aluo_nand_32)) ) ) (net NET331 (joined (portRef q (instanceRef alusel_reg2_reg)) (portRef in2 (instanceRef aluo_nand_26)) (portRef in2 (instanceRef aluo_nand_31)) (portRef in2 (instanceRef aluo_nand_30)) (portRef in2 (instanceRef aluo_nand_24)) (portRef in2 (instanceRef aluo_nand_29)) (portRef in2 (instanceRef aluo_nand_28)) (portRef in2 (instanceRef aluo_nand_27)) (portRef in2 (instanceRef aluo_nand_25)) ) ) (net NET332 (joined (portRef out (instanceRef nor_12)) (portRef in1 (instanceRef aluo_nand_25)) ) ) (net NET333 (joined (portRef out (instanceRef nor_13)) (portRef in1 (instanceRef aluo_nand_27)) ) ) (net NET334 (joined (portRef out (instanceRef nor_14)) (portRef in1 (instanceRef aluo_nand_28)) ) ) (net NET335 (joined (portRef out (instanceRef nor_15)) (portRef in1 (instanceRef aluo_nand_29)) ) ) (net NET336 (joined (portRef out (instanceRef nor_16)) (portRef in1 (instanceRef aluo_nand_24)) ) ) (net NET337 (joined (portRef out (instanceRef nor_17)) (portRef in1 (instanceRef aluo_nand_30)) ) ) (net NET338 (joined (portRef out (instanceRef nor_18)) (portRef in1 (instanceRef aluo_nand_31)) ) ) (net NET339 (joined (portRef out (instanceRef nor_19)) (portRef in1 (instanceRef aluo_nand_26)) ) ) (net NET340 (joined (portRef in2 (instanceRef eor_7)) (portRef out (instanceRef cla_eor_7)) (portRef d (instanceRef btb_reg6_reg)) (portRef d (instanceRef mar_reg6_reg)) (portRef in1 (instanceRef targetpc_nand_8)) (portRef in1 (instanceRef aluo_nand_18)) ) ) (net NET341 (joined (portRef in2 (instanceRef eor_6)) (portRef out (instanceRef cla_eor_6)) (portRef d (instanceRef btb_reg5_reg)) (portRef d (instanceRef mar_reg5_reg)) (portRef in1 (instanceRef targetpc_nand_7)) (portRef in1 (instanceRef aluo_nand_17)) ) ) (net NET342 (joined (portRef in2 (instanceRef eor_5)) (portRef out (instanceRef cla_eor_5)) (portRef d (instanceRef btb_reg4_reg)) (portRef d (instanceRef mar_reg4_reg)) (portRef in1 (instanceRef targetpc_nand_6)) (portRef in1 (instanceRef aluo_nand_23)) ) ) (net NET343 (joined (portRef in2 (instanceRef eor_4)) (portRef out (instanceRef cla_enor_3)) (portRef d (instanceRef btb_reg3_reg)) (portRef d (instanceRef mar_reg3_reg)) (portRef in1 (instanceRef targetpc_nand_5)) (portRef in1 (instanceRef aluo_nand_22)) ) ) (net NET344 (joined (portRef in2 (instanceRef eor_3)) (portRef out (instanceRef cla_enor_2)) (portRef d (instanceRef btb_reg2_reg)) (portRef d (instanceRef mar_reg2_reg)) (portRef in1 (instanceRef targetpc_nand_4)) (portRef in1 (instanceRef aluo_nand_21)) ) ) (net NET345 (joined (portRef in2 (instanceRef eor_2)) (portRef out (instanceRef cla_enor_1)) (portRef d (instanceRef btb_reg1_reg)) (portRef d (instanceRef mar_reg1_reg)) (portRef in1 (instanceRef targetpc_nand_3)) (portRef in1 (instanceRef aluo_nand_20)) ) ) (net NET346 (joined (portRef in2 (instanceRef eor_1)) (portRef out (instanceRef cla_eor_1)) (portRef d (instanceRef btb_reg0_reg)) (portRef d (instanceRef mar_reg0_reg)) (portRef in1 (instanceRef targetpc_nand_2)) (portRef in1 (instanceRef aluo_nand_19)) ) ) (net NET347 (joined (portRef in2 (instanceRef ealu_nand_3)) (portRef in2 (instanceRef ealu_nand_18)) (portRef in2 (instanceRef ealu_nand_22)) (portRef in2 (instanceRef ealu_nand_19)) (portRef in2 (instanceRef ealu_nand_13)) (portRef in2 (instanceRef ealu_nand_10)) (portRef in2 (instanceRef ealu_nand_7)) (portRef in2 (instanceRef ealu_nand_4)) (portRef out (instanceRef inv__58)) (portRef in1 (instanceRef nand__2_37)) ) ) (net NET348 (joined (portRef out (instanceRef aluo_nand_33)) (portRef in1 (instanceRef ealu_nand_3)) ) ) (net NET349 (joined (portRef out (instanceRef aluo_nand_36)) (portRef in1 (instanceRef ealu_nand_18)) ) ) (net NET350 (joined (portRef out (instanceRef aluo_nand_34)) (portRef in1 (instanceRef ealu_nand_22)) ) ) (net NET351 (joined (portRef out (instanceRef aluo_nand_35)) (portRef in1 (instanceRef ealu_nand_19)) ) ) (net NET352 (joined (portRef out (instanceRef aluo_nand_39)) (portRef in1 (instanceRef ealu_nand_13)) ) ) (net NET353 (joined (portRef out (instanceRef aluo_nand_38)) (portRef in1 (instanceRef ealu_nand_10)) ) ) (net NET354 (joined (portRef out (instanceRef aluo_nand_37)) (portRef in1 (instanceRef ealu_nand_7)) ) ) (net NET355 (joined (portRef out (instanceRef aluo_nand_40)) (portRef in1 (instanceRef ealu_nand_4)) ) ) (net NET356 (joined (portRef in2 (instanceRef ealu_nand_2)) (portRef in2 (instanceRef ealu_nand_16)) (portRef in2 (instanceRef ealu_nand_24)) (portRef in2 (instanceRef ealu_nand_21)) (portRef in2 (instanceRef ealu_nand_15)) (portRef in2 (instanceRef ealu_nand_12)) (portRef in2 (instanceRef ealu_nand_9)) (portRef in2 (instanceRef ealu_nand_6)) (portRef out (instanceRef nor__3_47)) (portRef in (instanceRef inv__58)) (portRef in1 (instanceRef nand__2_51)) ) ) (net NET357 (joined (portRef in2 (instanceRef enor_1)) (portRef q (instanceRef epc_reg7_reg)) (portRef d (instanceRef btb_reg15_reg)) (portRef in1 (instanceRef targetpc_nand_9)) (portRef in1 (instanceRef ealu_nand_2)) ) ) (net NET358 (joined (portRef in2 (instanceRef eor_15)) (portRef q (instanceRef epc_reg6_reg)) (portRef d (instanceRef btb_reg14_reg)) (portRef in1 (instanceRef targetpc_nand_16)) (portRef in1 (instanceRef ealu_nand_16)) ) ) (net NET359 (joined (portRef in2 (instanceRef eor_14)) (portRef q (instanceRef epc_reg5_reg)) (portRef d (instanceRef btb_reg13_reg)) (portRef in1 (instanceRef targetpc_nand_15)) (portRef in1 (instanceRef ealu_nand_24)) ) ) (net NET360 (joined (portRef in2 (instanceRef eor_13)) (portRef q (instanceRef epc_reg4_reg)) (portRef d (instanceRef btb_reg12_reg)) (portRef in1 (instanceRef targetpc_nand_14)) (portRef in1 (instanceRef ealu_nand_21)) ) ) (net NET361 (joined (portRef in2 (instanceRef eor_12)) (portRef q (instanceRef epc_reg3_reg)) (portRef d (instanceRef btb_reg11_reg)) (portRef in1 (instanceRef targetpc_nand_13)) (portRef in1 (instanceRef ealu_nand_15)) ) ) (net NET362 (joined (portRef in2 (instanceRef eor_11)) (portRef q (instanceRef epc_reg2_reg)) (portRef d (instanceRef btb_reg10_reg)) (portRef in1 (instanceRef targetpc_nand_12)) (portRef in1 (instanceRef ealu_nand_12)) ) ) (net NET363 (joined (portRef in2 (instanceRef eor_10)) (portRef q (instanceRef epc_reg1_reg)) (portRef d (instanceRef btb_reg9_reg)) (portRef in1 (instanceRef targetpc_nand_11)) (portRef in1 (instanceRef ealu_nand_9)) ) ) (net NET364 (joined (portRef in2 (instanceRef eor_9)) (portRef q (instanceRef epc_reg0_reg)) (portRef d (instanceRef btb_reg8_reg)) (portRef in1 (instanceRef targetpc_nand_10)) (portRef in1 (instanceRef ealu_nand_6)) ) ) (net NET365 (joined (portRef q (instanceRef eop_reg0_reg)) (portRef in1 (instanceRef clain1_nand_4)) ) ) (net NET366 (joined (portRef q (instanceRef eop_reg1_reg)) (portRef in1 (instanceRef clain1_nand_7)) ) ) (net NET367 (joined (portRef q (instanceRef eop_reg2_reg)) (portRef in1 (instanceRef clain1_nand_10)) ) ) (net NET368 (joined (portRef q (instanceRef eop_reg3_reg)) (portRef in1 (instanceRef clain1_nand_13)) ) ) (net NET369 (joined (portRef q (instanceRef eop_reg4_reg)) (portRef in1 (instanceRef clain1_nand_19)) ) ) (net NET370 (joined (portRef q (instanceRef eop_reg5_reg)) (portRef in1 (instanceRef clain1_nand_22)) ) ) (net NET371 (joined (portRef q (instanceRef eop_reg6_reg)) (portRef in1 (instanceRef sel_17_nand_4)) (portRef in1 (instanceRef clain1_nand_18)) ) ) (net NET372 (joined (portRef q (instanceRef eop_reg7_reg)) (portRef in1 (instanceRef sel_17_nand_7)) (portRef in1 (instanceRef clain1_nand_3)) ) ) (net NET373 (joined (portRef out (instanceRef sel_18_nand_1)) (portRef in1 (instanceRef cla_eor_11)) ) ) (net NET374 (joined (portRef out (instanceRef sel_18_nand_17)) (portRef in1 (instanceRef cla_nor_11)) (portRef in1 (instanceRef cla_nand_13)) (portRef in1 (instanceRef cla_eor_10)) ) ) (net NET375 (joined (portRef out (instanceRef sel_18_nand_23)) (portRef in1 (instanceRef cla_nand_9)) (portRef in1 (instanceRef cla_enor_5)) ) ) (net NET376 (joined (portRef out (instanceRef sel_18_nand_20)) (portRef in2 (instanceRef cla_nand_6)) (portRef in2 (instanceRef cla_nor_4)) (portRef in1 (instanceRef cla_eor_9)) ) ) (net NET377 (joined (portRef out (instanceRef sel_18_nand_14)) (portRef in2 (instanceRef cla_nor_16)) (portRef in2 (instanceRef cla_nand_14)) (portRef in1 (instanceRef cla_eor_4)) ) ) (net NET378 (joined (portRef out (instanceRef sel_18_nand_11)) (portRef in1 (instanceRef cla_nor_17)) (portRef in1 (instanceRef cla_nand_15)) (portRef in1 (instanceRef cla_eor_3)) ) ) (net NET379 (joined (portRef out (instanceRef inv_41)) (portRef in1 (instanceRef cla_nor_10)) ) ) (net NET380 (joined (portRef in (instanceRef inv_41)) (portRef out (instanceRef sel_18_nand_8)) (portRef in1 (instanceRef cla_nand_18)) (portRef in1 (instanceRef cla_nor_8)) (portRef in1 (instanceRef cla_enor_4)) ) ) (net NET381 (joined (portRef out (instanceRef sel_18_nand_5)) (portRef in2 (instanceRef cla_nand_2)) (portRef in1 (instanceRef cla_nand_16)) (portRef in1 (instanceRef cla_nor_2)) (portRef in2 (instanceRef cla_nor_1)) (portRef in1 (instanceRef cla_eor_2)) ) ) (net NET382 (joined (portRef q (instanceRef eop_reg10_reg)) (portRef in1 (instanceRef sel_17_nand_6)) ) ) (net NET383 (joined (portRef q (instanceRef eop_reg11_reg)) (portRef in1 (instanceRef sel_17_nand_9)) ) ) (net NET384 (joined (portRef out (instanceRef sel_17_nand_1)) (portRef d (instanceRef mop_reg5_reg)) ) ) (net NET385 (joined (portRef out (instanceRef sel_17_nand_18)) (portRef d (instanceRef mop_reg4_reg)) ) ) (net NET386 (joined (portRef out (instanceRef sel_17_nand_15)) (portRef d (instanceRef mop_reg3_reg)) ) ) (net NET387 (joined (portRef out (instanceRef sel_17_nand_12)) (portRef d (instanceRef mop_reg2_reg)) ) ) (net NET388 (joined (portRef out (instanceRef sel_17_nand_8)) (portRef d (instanceRef mop_reg1_reg)) ) ) (net NET389 (joined (portRef out (instanceRef sel_17_nand_5)) (portRef d (instanceRef mop_reg0_reg)) ) ) (net NET390 (joined (portRef out (instanceRef gr_nand_66)) (portRef in1 (instanceRef dtop2_nand_1)) ) ) (net NET391 (joined (portRef out (instanceRef gr_nand_80)) (portRef in1 (instanceRef dtop2_nand_2)) ) ) (net NET392 (joined (portRef out (instanceRef gr_nand_78)) (portRef in1 (instanceRef dtop2_nand_3)) ) ) (net NET393 (joined (portRef out (instanceRef gr_nand_76)) (portRef in1 (instanceRef dtop2_nand_4)) ) ) (net NET394 (joined (portRef out (instanceRef gr_nand_65)) (portRef in1 (instanceRef dtop2_nand_5)) ) ) (net NET395 (joined (portRef out (instanceRef gr_nand_71)) (portRef in1 (instanceRef dtop2_nand_6)) ) ) (net NET396 (joined (portRef out (instanceRef gr_nand_74)) (portRef in1 (instanceRef dtop2_nand_7)) ) ) (net NET397 (joined (portRef out (instanceRef gr_nand_69)) (portRef in1 (instanceRef dtop2_nand_8)) ) ) (net NET398 (joined (portRef in2 (instanceRef targetpc_nand_1)) (portRef in2 (instanceRef targetpc_nand_8)) (portRef in2 (instanceRef targetpc_nand_7)) (portRef in2 (instanceRef targetpc_nand_6)) (portRef in2 (instanceRef targetpc_nand_5)) (portRef in2 (instanceRef targetpc_nand_4)) (portRef in2 (instanceRef targetpc_nand_3)) (portRef in2 (instanceRef targetpc_nand_2)) (portRef out (instanceRef aoi__31_33_nor)) (portRef in4 (instanceRef nor__4_28)) ) ) (net NET399 (joined (portRef in2 (instanceRef targetpc_nand_25)) (portRef in2 (instanceRef targetpc_nand_32)) (portRef in2 (instanceRef targetpc_nand_31)) (portRef in2 (instanceRef targetpc_nand_30)) (portRef in2 (instanceRef targetpc_nand_29)) (portRef in2 (instanceRef targetpc_nand_28)) (portRef in2 (instanceRef targetpc_nand_27)) (portRef in2 (instanceRef targetpc_nand_26)) (portRef out (instanceRef nor__2_39)) (portRef in2 (instanceRef nor__4_28)) ) ) (net NET400 (joined (portRef in2 (instanceRef targetpc_nand_9)) (portRef in2 (instanceRef targetpc_nand_16)) (portRef in2 (instanceRef targetpc_nand_15)) (portRef in2 (instanceRef targetpc_nand_14)) (portRef in2 (instanceRef targetpc_nand_13)) (portRef in2 (instanceRef targetpc_nand_12)) (portRef in2 (instanceRef targetpc_nand_11)) (portRef in2 (instanceRef targetpc_nand_10)) (portRef out (instanceRef nor__2_35)) (portRef in3 (instanceRef nor__4_28)) ) ) (net NET401 (joined (portRef in2 (instanceRef sel_13_nand_2)) (portRef in2 (instanceRef sel_13_nand_16)) (portRef in2 (instanceRef sel_13_nand_24)) (portRef in2 (instanceRef sel_13_nand_21)) (portRef in2 (instanceRef sel_13_nand_15)) (portRef in2 (instanceRef sel_13_nand_12)) (portRef in2 (instanceRef sel_13_nand_9)) (portRef in2 (instanceRef sel_13_nand_6)) (portRef in2 (instanceRef targetpc_nand_17)) (portRef in2 (instanceRef targetpc_nand_24)) (portRef in2 (instanceRef targetpc_nand_23)) (portRef in2 (instanceRef targetpc_nand_22)) (portRef in2 (instanceRef targetpc_nand_21)) (portRef in2 (instanceRef targetpc_nand_20)) (portRef in2 (instanceRef targetpc_nand_19)) (portRef in2 (instanceRef targetpc_nand_18)) (portRef out (instanceRef inv__54)) (portRef in1 (instanceRef nor__4_28)) ) ) (net NET402 (joined (portRef in (instanceRef inv__54)) (portRef in1 (instanceRef nand__2_31)) (portRef in2 (instanceRef nand_11)) (portRef in1 (instanceRef nand_40)) (portRef in1 (instanceRef nand_9)) (portRef out (instanceRef nand_10)) ) ) (net NET403 (joined (portRef in2 (instanceRef nand_40)) (portRef out (instanceRef inv_38)) ) ) (net NET404 (joined (portRef in (instanceRef inv_38)) (portRef out (instanceRef nor_52)) (portRef in2 (instanceRef sel_13_nand_3)) (portRef in2 (instanceRef sel_13_nand_18)) (portRef in2 (instanceRef sel_13_nand_22)) (portRef in2 (instanceRef sel_13_nand_19)) (portRef in2 (instanceRef sel_13_nand_13)) (portRef in2 (instanceRef sel_13_nand_10)) (portRef in2 (instanceRef sel_13_nand_7)) (portRef in2 (instanceRef sel_13_nand_4)) ) ) (net NET405 (joined (portRef dto_7_) (portRef q (instanceRef msrc_reg7_reg)) (portRef d (instanceRef ir2_reg7_reg)) (portRef in1 (instanceRef sel_11_nand_3)) (portRef in1 (instanceRef sel_13_nand_3)) ) ) (net NET406 (joined (portRef dto_6_) (portRef q (instanceRef msrc_reg6_reg)) (portRef d (instanceRef ir2_reg6_reg)) (portRef in1 (instanceRef sel_10_nand_1)) (portRef in1 (instanceRef sel_11_nand_17)) (portRef in1 (instanceRef sel_13_nand_18)) ) ) (net NET407 (joined (portRef dto_5_) (portRef q (instanceRef msrc_reg5_reg)) (portRef d (instanceRef ir2_reg5_reg)) (portRef in1 (instanceRef sel_11_nand_21)) (portRef in1 (instanceRef sel_13_nand_22)) ) ) (net NET408 (joined (portRef dto_4_) (portRef q (instanceRef msrc_reg4_reg)) (portRef d (instanceRef ir2_reg4_reg)) (portRef in1 (instanceRef sel_11_nand_18)) (portRef in1 (instanceRef sel_13_nand_19)) ) ) (net NET409 (joined (portRef dto_3_) (portRef q (instanceRef msrc_reg3_reg)) (portRef d (instanceRef ir2_reg3_reg)) (portRef in1 (instanceRef sel_11_nand_12)) (portRef in1 (instanceRef sel_13_nand_13)) ) ) (net NET410 (joined (portRef dto_2_) (portRef q (instanceRef msrc_reg2_reg)) (portRef d (instanceRef ir2_reg2_reg)) (portRef in1 (instanceRef sel_11_nand_9)) (portRef in1 (instanceRef sel_13_nand_10)) ) ) (net NET411 (joined (portRef dto_1_) (portRef q (instanceRef msrc_reg1_reg)) (portRef d (instanceRef cnten_reg)) (portRef d (instanceRef ir2_reg1_reg)) (portRef in1 (instanceRef sel_11_nand_6)) (portRef in1 (instanceRef sel_13_nand_7)) ) ) (net NET412 (joined (portRef dto_0_) (portRef q (instanceRef msrc_reg0_reg)) (portRef d (instanceRef ir2_reg0_reg)) (portRef in1 (instanceRef sel_11_nand_4)) (portRef in1 (instanceRef sel_12_nand_1)) (portRef in1 (instanceRef sel_13_nand_4)) ) ) (net NET413 (joined (portRef in1 (instanceRef eor_22)) (portRef out (instanceRef inc_eor_4)) (portRef d (instanceRef dpc_reg7_reg)) (portRef in1 (instanceRef sel_1_nand_3)) (portRef in1 (instanceRef sel_13_nand_2)) ) ) (net NET414 (joined (portRef in1 (instanceRef enor_10)) (portRef out (instanceRef inc_enor_3)) (portRef d (instanceRef dpc_reg6_reg)) (portRef in1 (instanceRef sel_1_nand_18)) (portRef in1 (instanceRef sel_13_nand_16)) ) ) (net NET415 (joined (portRef in1 (instanceRef enor_9)) (portRef out (instanceRef inc_eor_3)) (portRef d (instanceRef dpc_reg5_reg)) (portRef in1 (instanceRef sel_1_nand_22)) (portRef in1 (instanceRef sel_13_nand_24)) ) ) (net NET416 (joined (portRef in1 (instanceRef enor_8)) (portRef out (instanceRef inc_enor_2)) (portRef d (instanceRef dpc_reg4_reg)) (portRef in1 (instanceRef sel_1_nand_19)) (portRef in1 (instanceRef sel_13_nand_21)) ) ) (net NET417 (joined (portRef in1 (instanceRef enor_7)) (portRef out (instanceRef inc_eor_2)) (portRef d (instanceRef dpc_reg3_reg)) (portRef in1 (instanceRef sel_1_nand_13)) (portRef in1 (instanceRef sel_13_nand_15)) ) ) (net NET418 (joined (portRef in1 (instanceRef eor_21)) (portRef out (instanceRef inc_enor_1)) (portRef d (instanceRef dpc_reg2_reg)) (portRef in1 (instanceRef sel_1_nand_10)) (portRef in1 (instanceRef sel_13_nand_12)) ) ) (net NET419 (joined (portRef in1 (instanceRef eor_20)) (portRef out (instanceRef inc_eor_1)) (portRef d (instanceRef dpc_reg1_reg)) (portRef in1 (instanceRef sel_1_nand_7)) (portRef in1 (instanceRef sel_13_nand_9)) ) ) (net NET420 (joined (portRef out (instanceRef sel_13_nand_1)) (portRef d (instanceRef ir3_reg7_reg)) ) ) (net NET421 (joined (portRef out (instanceRef sel_13_nand_17)) (portRef d (instanceRef ir3_reg6_reg)) ) ) (net NET422 (joined (portRef out (instanceRef sel_13_nand_23)) (portRef d (instanceRef ir3_reg5_reg)) ) ) (net NET423 (joined (portRef out (instanceRef sel_13_nand_20)) (portRef d (instanceRef ir3_reg4_reg)) ) ) (net NET424 (joined (portRef out (instanceRef sel_13_nand_14)) (portRef d (instanceRef ir3_reg3_reg)) ) ) (net NET425 (joined (portRef out (instanceRef sel_13_nand_11)) (portRef d (instanceRef ir3_reg2_reg)) ) ) (net NET426 (joined (portRef out (instanceRef sel_13_nand_8)) (portRef d (instanceRef ir3_reg1_reg)) ) ) (net NET427 (joined (portRef out (instanceRef sel_13_nand_5)) (portRef d (instanceRef ir3_reg0_reg)) ) ) (net NET428 (joined (portRef in2 (instanceRef nand_6)) (portRef in2 (instanceRef nand_5)) (portRef out (instanceRef inv_37)) ) ) (net NET429 (joined (portRef in (instanceRef inv_37)) (portRef out (instanceRef nor_48)) (portRef ena (instanceRef cnten_reg)) (portRef in2 (instanceRef sel_10_nand_1)) (portRef in2 (instanceRef sel_12_nand_1)) ) ) (net NET430 (joined (portRef out (instanceRef inv_36)) (portRef d (instanceRef inten_reg)) ) ) (net NET431 (joined (portRef in (instanceRef inv_36)) (portRef out (instanceRef sel_12_nand_1)) ) ) (net NET432 (joined (portRef in2 (instanceRef nand_38)) (portRef out (instanceRef inv_35)) ) ) (net NET433 (joined (portRef in (instanceRef inv_35)) (portRef out (instanceRef nor_47)) (portRef in2 (instanceRef sel_11_nand_3)) (portRef in2 (instanceRef sel_11_nand_17)) (portRef in2 (instanceRef sel_11_nand_21)) (portRef in2 (instanceRef sel_11_nand_18)) (portRef in2 (instanceRef sel_11_nand_12)) (portRef in2 (instanceRef sel_11_nand_9)) (portRef in2 (instanceRef sel_11_nand_6)) (portRef in2 (instanceRef sel_11_nand_4)) ) ) (net NET434 (joined (portRef out (instanceRef dec_enor_4)) (portRef in1 (instanceRef sel_11_nand_2)) ) ) (net NET435 (joined (portRef out (instanceRef dec_eor_3)) (portRef in1 (instanceRef sel_11_nand_15)) ) ) (net NET436 (joined (portRef out (instanceRef dec_enor_3)) (portRef in1 (instanceRef sel_11_nand_23)) ) ) (net NET437 (joined (portRef out (instanceRef dec_eor_2)) (portRef in1 (instanceRef sel_11_nand_20)) ) ) (net NET438 (joined (portRef out (instanceRef dec_enor_2)) (portRef in1 (instanceRef sel_11_nand_14)) ) ) (net NET439 (joined (portRef out (instanceRef dec_eor_1)) (portRef in1 (instanceRef sel_11_nand_11)) ) ) (net NET440 (joined (portRef out (instanceRef dec_enor_1)) (portRef in1 (instanceRef sel_11_nand_8)) ) ) (net NET441 (joined (portRef in1 (instanceRef nor_65)) (portRef in2 (instanceRef dec_nor_1)) (portRef in2 (instanceRef dec_enor_1)) (portRef in1 (instanceRef sel_11_nor_1)) (portRef q (instanceRef ir1_reg0_reg)) (portRef in1 (instanceRef sel_20_nand_30)) ) ) (net NET442 (joined (portRef out (instanceRef sel_11_nand_1)) (portRef d (instanceRef ir1_reg7_reg)) ) ) (net NET443 (joined (portRef out (instanceRef sel_11_nand_16)) (portRef d (instanceRef ir1_reg6_reg)) ) ) (net NET444 (joined (portRef out (instanceRef sel_11_nand_22)) (portRef d (instanceRef ir1_reg5_reg)) ) ) (net NET445 (joined (portRef out (instanceRef sel_11_nand_19)) (portRef d (instanceRef ir1_reg4_reg)) ) ) (net NET446 (joined (portRef out (instanceRef sel_11_nand_13)) (portRef d (instanceRef ir1_reg3_reg)) ) ) (net NET447 (joined (portRef out (instanceRef sel_11_nand_10)) (portRef d (instanceRef ir1_reg2_reg)) ) ) (net NET448 (joined (portRef out (instanceRef sel_11_nand_7)) (portRef d (instanceRef ir1_reg1_reg)) ) ) (net NET449 (joined (portRef out (instanceRef sel_11_nand_5)) (portRef d (instanceRef ir1_reg0_reg)) ) ) (net NET450 (joined (portRef out (instanceRef sel_10_nand_2)) (portRef d (instanceRef cntintflag_reg)) ) ) (net NET451 (joined (portRef out (instanceRef nand_42)) (portRef in1 (instanceRef sel_9_nor_1)) ) ) (net NET452 (joined (portRef out (instanceRef sel_9_nor_1)) (portRef d (instanceRef streq_reg)) ) ) (net NET453 (joined (portRef out (instanceRef nor_55)) (portRef in1 (instanceRef sel_8_nand_3)) (portRef in1 (instanceRef sel_8_nand_4)) (portRef in2 (instanceRef sel_8_nor_1)) ) ) (net NET454 (joined (portRef q (instanceRef op_reg6_reg)) (portRef d (instanceRef eop_reg6_reg)) (portRef in2 (instanceRef sel_8_nand_4)) ) ) (net NET455 (joined (portRef q (instanceRef op_reg7_reg)) (portRef d (instanceRef eop_reg7_reg)) (portRef in2 (instanceRef sel_8_nand_3)) ) ) (net NET456 (joined (portRef out (instanceRef inv_34)) (portRef in1 (instanceRef sel_8_nor_2)) ) ) (net NET457 (joined (portRef in (instanceRef inv_34)) (portRef out (instanceRef nor_56)) (portRef in1 (instanceRef sel_8_nand_1)) (portRef in1 (instanceRef sel_8_nand_6)) (portRef in1 (instanceRef sel_8_nor_1)) ) ) (net NET458 (joined (portRef out (instanceRef nor_61)) (portRef in2 (instanceRef sel_8_nor_2)) ) ) (net NET459 (joined (portRef out (instanceRef inv_33)) (portRef d (instanceRef ru1_reg3_reg)) ) ) (net NET460 (joined (portRef in (instanceRef inv_33)) (portRef out (instanceRef sel_8_nor_1)) ) ) (net NET461 (joined (portRef out (instanceRef sel_8_nand_2)) (portRef d (instanceRef ru1_reg2_reg)) ) ) (net NET462 (joined (portRef out (instanceRef sel_8_nand_5)) (portRef d (instanceRef ru1_reg1_reg)) ) ) (net NET463 (joined (portRef out (instanceRef sel_8_nor_2)) (portRef d (instanceRef ru1_reg0_reg)) ) ) (net NET464 (joined (portRef in3 (instanceRef nor_46)) (portRef out (instanceRef inv_32)) (portRef in1 (instanceRef sel_7_nor_1)) (portRef in4 (instanceRef nor_45_nor_1)) ) ) (net NET465 (joined (portRef in3 (instanceRef nand_57)) (portRef in (instanceRef inv_32)) (portRef q (instanceRef ru1_reg3_reg)) ) ) (net NET466 (joined (portRef out (instanceRef inv_31)) (portRef in1 (instanceRef sel_7_nor_3)) ) ) (net NET467 (joined (portRef in (instanceRef inv_31)) (portRef in2 (instanceRef enor_12)) (portRef in2 (instanceRef eor_24)) (portRef q (instanceRef ru1_reg2_reg)) ) ) (net NET468 (joined (portRef out (instanceRef inv_30)) (portRef in1 (instanceRef sel_7_nor_2)) ) ) (net NET469 (joined (portRef in (instanceRef inv_30)) (portRef in2 (instanceRef enor_11)) (portRef in2 (instanceRef eor_23)) (portRef q (instanceRef ru1_reg1_reg)) ) ) (net NET470 (joined (portRef in1 (instanceRef nor_30)) (portRef in1 (instanceRef nor_28)) (portRef in1 (instanceRef nand_37)) (portRef out (instanceRef inv_29)) ) ) (net NET471 (joined (portRef in1 (instanceRef nor_29)) (portRef in (instanceRef inv_29)) (portRef q (instanceRef ru1_reg0_reg)) (portRef in1 (instanceRef nor_45_nor_1)) ) ) (net NET472 (joined (portRef out (instanceRef sel_7_nor_1)) (portRef d (instanceRef ru2_reg3_reg)) ) ) (net NET473 (joined (portRef out (instanceRef sel_7_nor_3)) (portRef d (instanceRef ru2_reg2_reg)) ) ) (net NET474 (joined (portRef out (instanceRef sel_7_nor_2)) (portRef d (instanceRef ru2_reg1_reg)) ) ) (net NET475 (joined (portRef in4 (instanceRef nor_57)) (portRef out (instanceRef inv_28)) (portRef in1 (instanceRef sel_6_nor_1)) ) ) (net NET476 (joined (portRef in (instanceRef inv_28)) (portRef in3 (instanceRef nand_59)) (portRef q (instanceRef ru2_reg3_reg)) ) ) (net NET477 (joined (portRef out (instanceRef inv_27)) (portRef in1 (instanceRef sel_6_nor_3)) ) ) (net NET478 (joined (portRef in (instanceRef inv_27)) (portRef in2 (instanceRef eor_19)) (portRef in2 (instanceRef enor_3)) (portRef q (instanceRef ru2_reg2_reg)) ) ) (net NET479 (joined (portRef out (instanceRef inv_26)) (portRef in1 (instanceRef sel_6_nor_2)) ) ) (net NET480 (joined (portRef in (instanceRef inv_26)) (portRef in2 (instanceRef eor_18)) (portRef in2 (instanceRef enor_2)) (portRef q (instanceRef ru2_reg1_reg)) ) ) (net NET481 (joined (portRef out (instanceRef sel_6_nor_1)) (portRef d (instanceRef ru3_reg3_reg)) ) ) (net NET482 (joined (portRef out (instanceRef sel_6_nor_3)) (portRef d (instanceRef ru3_reg2_reg)) ) ) (net NET483 (joined (portRef out (instanceRef sel_6_nor_2)) (portRef d (instanceRef ru3_reg1_reg)) ) ) (net NET484 (joined (portRef q (instanceRef pc_reg7_reg)) (portRef in1 (instanceRef sel_4_nand_3)) ) ) (net NET485 (joined (portRef q (instanceRef pc_reg6_reg)) (portRef in1 (instanceRef sel_4_nand_18)) ) ) (net NET486 (joined (portRef q (instanceRef pc_reg5_reg)) (portRef in1 (instanceRef sel_4_nand_22)) ) ) (net NET487 (joined (portRef q (instanceRef pc_reg4_reg)) (portRef in1 (instanceRef sel_4_nand_19)) ) ) (net NET488 (joined (portRef q (instanceRef pc_reg3_reg)) (portRef in1 (instanceRef sel_4_nand_13)) ) ) (net NET489 (joined (portRef q (instanceRef pc_reg2_reg)) (portRef in1 (instanceRef sel_4_nand_10)) ) ) (net NET490 (joined (portRef q (instanceRef pc_reg1_reg)) (portRef in1 (instanceRef sel_4_nand_7)) ) ) (net NET491 (joined (portRef q (instanceRef pc_reg0_reg)) (portRef in1 (instanceRef sel_4_nand_4)) ) ) (net NET492 (joined (portRef q (instanceRef tpc_reg7_reg)) (portRef in1 (instanceRef sel_4_nand_2)) ) ) (net NET493 (joined (portRef q (instanceRef tpc_reg6_reg)) (portRef in1 (instanceRef sel_4_nand_16)) ) ) (net NET494 (joined (portRef q (instanceRef tpc_reg5_reg)) (portRef in1 (instanceRef sel_4_nand_24)) ) ) (net NET495 (joined (portRef q (instanceRef tpc_reg4_reg)) (portRef in1 (instanceRef sel_4_nand_21)) ) ) (net NET496 (joined (portRef q (instanceRef tpc_reg3_reg)) (portRef in1 (instanceRef sel_4_nand_15)) ) ) (net NET497 (joined (portRef q (instanceRef tpc_reg2_reg)) (portRef in1 (instanceRef sel_4_nand_12)) ) ) (net NET498 (joined (portRef q (instanceRef tpc_reg1_reg)) (portRef in1 (instanceRef sel_4_nand_9)) ) ) (net NET499 (joined (portRef q (instanceRef tpc_reg0_reg)) (portRef in1 (instanceRef sel_4_nand_6)) ) ) (net NET500 (joined (portRef iadrs_7_) (portRef out (instanceRef sel_4_nand_1)) (portRef in1 (instanceRef inc_eor_4)) ) ) (net NET501 (joined (portRef out (instanceRef inv_25)) (portRef in1 (instanceRef inc_nor_3)) ) ) (net NET502 (joined (portRef in (instanceRef inv_25)) (portRef iadrs_6_) (portRef out (instanceRef sel_4_nand_17)) (portRef in1 (instanceRef inc_enor_3)) ) ) (net NET503 (joined (portRef iadrs_5_) (portRef out (instanceRef sel_4_nand_23)) (portRef in1 (instanceRef inc_eor_3)) (portRef in1 (instanceRef inc_nand_2)) ) ) (net NET504 (joined (portRef out (instanceRef inv_24)) (portRef in1 (instanceRef inc_nor_2)) ) ) (net NET505 (joined (portRef in (instanceRef inv_24)) (portRef iadrs_4_) (portRef out (instanceRef sel_4_nand_20)) (portRef in1 (instanceRef inc_enor_2)) ) ) (net NET506 (joined (portRef iadrs_3_) (portRef out (instanceRef sel_4_nand_14)) (portRef in1 (instanceRef inc_eor_2)) (portRef in1 (instanceRef inc_nand_1)) ) ) (net NET507 (joined (portRef out (instanceRef inv_23)) (portRef in1 (instanceRef inc_nor_1)) ) ) (net NET508 (joined (portRef in (instanceRef inv_23)) (portRef iadrs_2_) (portRef out (instanceRef sel_4_nand_11)) (portRef in1 (instanceRef inc_enor_1)) ) ) (net NET509 (joined (portRef iadrs_1_) (portRef out (instanceRef sel_4_nand_8)) (portRef in1 (instanceRef inc_eor_1)) (portRef in1 (instanceRef inc_nand_3)) ) ) (net NET510 (joined (portRef out (instanceRef inv_22)) (portRef d (instanceRef dpc_reg0_reg)) (portRef in1 (instanceRef sel_1_nand_4)) (portRef in1 (instanceRef sel_13_nand_6)) ) ) (net NET511 (joined (portRef in (instanceRef inv_22)) (portRef in1 (instanceRef enor_6)) (portRef iadrs_0_) (portRef out (instanceRef sel_4_nand_5)) (portRef in2 (instanceRef inc_eor_1)) (portRef in2 (instanceRef inc_nand_3)) ) ) (net NET512 (joined (portRef out (instanceRef nor_6)) (portRef in2 (instanceRef sel_1_nand_1)) (portRef in2 (instanceRef sel_1_nand_16)) (portRef in2 (instanceRef sel_1_nand_24)) (portRef in2 (instanceRef sel_1_nand_21)) (portRef in2 (instanceRef sel_1_nand_15)) (portRef in2 (instanceRef sel_1_nand_12)) (portRef in2 (instanceRef sel_1_nand_9)) (portRef in2 (instanceRef sel_1_nand_6)) ) ) (net NET513 (joined (portRef in1 (instanceRef eor_1)) (portRef q (instanceRef btb_reg0_reg)) (portRef in1 (instanceRef sel_1_nand_6)) ) ) (net NET514 (joined (portRef in1 (instanceRef eor_2)) (portRef q (instanceRef btb_reg1_reg)) (portRef in1 (instanceRef sel_1_nand_9)) ) ) (net NET515 (joined (portRef in1 (instanceRef eor_3)) (portRef q (instanceRef btb_reg2_reg)) (portRef in1 (instanceRef sel_1_nand_12)) ) ) (net NET516 (joined (portRef in1 (instanceRef eor_4)) (portRef q (instanceRef btb_reg3_reg)) (portRef in1 (instanceRef sel_1_nand_15)) ) ) (net NET517 (joined (portRef in1 (instanceRef eor_5)) (portRef q (instanceRef btb_reg4_reg)) (portRef in1 (instanceRef sel_1_nand_21)) ) ) (net NET518 (joined (portRef in1 (instanceRef eor_6)) (portRef q (instanceRef btb_reg5_reg)) (portRef in1 (instanceRef sel_1_nand_24)) ) ) (net NET519 (joined (portRef in1 (instanceRef eor_7)) (portRef q (instanceRef btb_reg6_reg)) (portRef in1 (instanceRef sel_1_nand_16)) ) ) (net NET520 (joined (portRef in1 (instanceRef eor_8)) (portRef q (instanceRef btb_reg7_reg)) (portRef in1 (instanceRef sel_1_nand_1)) ) ) (net NET521 (joined (portRef out (instanceRef nor_4)) (portRef in2 (instanceRef sel_1_nand_3)) (portRef in2 (instanceRef sel_1_nand_18)) (portRef in2 (instanceRef sel_1_nand_22)) (portRef in2 (instanceRef sel_1_nand_19)) (portRef in2 (instanceRef sel_1_nand_13)) (portRef in2 (instanceRef sel_1_nand_10)) (portRef in2 (instanceRef sel_1_nand_7)) (portRef in2 (instanceRef sel_1_nand_4)) ) ) (net NET522 (joined (portRef out (instanceRef sel_1_nand_2)) (portRef d (instanceRef pc_reg7_reg)) ) ) (net NET523 (joined (portRef out (instanceRef sel_1_nand_17)) (portRef d (instanceRef pc_reg6_reg)) ) ) (net NET524 (joined (portRef out (instanceRef sel_1_nand_23)) (portRef d (instanceRef pc_reg5_reg)) ) ) (net NET525 (joined (portRef out (instanceRef sel_1_nand_20)) (portRef d (instanceRef pc_reg4_reg)) ) ) (net NET526 (joined (portRef out (instanceRef sel_1_nand_14)) (portRef d (instanceRef pc_reg3_reg)) ) ) (net NET527 (joined (portRef out (instanceRef sel_1_nand_11)) (portRef d (instanceRef pc_reg2_reg)) ) ) (net NET528 (joined (portRef out (instanceRef sel_1_nand_8)) (portRef d (instanceRef pc_reg1_reg)) ) ) (net NET529 (joined (portRef out (instanceRef sel_1_nand_5)) (portRef d (instanceRef pc_reg0_reg)) ) ) (net NET530 (joined (portRef out (instanceRef inv_21)) (portRef d (instanceRef wrb__all_reg)) ) ) (net NET531 (joined (portRef in (instanceRef inv_21)) (portRef out (instanceRef nand_29)) (portRef ena (instanceRef walu_reg7_reg)) (portRef ena (instanceRef walu_reg6_reg)) (portRef ena (instanceRef walu_reg5_reg)) (portRef ena (instanceRef walu_reg4_reg)) (portRef ena (instanceRef walu_reg3_reg)) (portRef ena (instanceRef walu_reg2_reg)) (portRef ena (instanceRef walu_reg1_reg)) (portRef ena (instanceRef walu_reg0_reg)) (portRef ena (instanceRef wop_reg1_reg)) (portRef ena (instanceRef wop_reg0_reg)) ) ) (net NET532 (joined (portRef out (instanceRef nor_54)) (portRef ena (instanceRef ir2_reg7_reg)) (portRef ena (instanceRef ir2_reg6_reg)) (portRef ena (instanceRef ir2_reg5_reg)) (portRef ena (instanceRef ir2_reg4_reg)) (portRef ena (instanceRef ir2_reg3_reg)) (portRef ena (instanceRef ir2_reg2_reg)) (portRef ena (instanceRef ir2_reg1_reg)) (portRef ena (instanceRef ir2_reg0_reg)) ) ) (net NET533 (joined (portRef in1 (instanceRef mem__all_nor_2)) (portRef ena (instanceRef msrc_reg7_reg)) (portRef ena (instanceRef msrc_reg6_reg)) (portRef ena (instanceRef msrc_reg5_reg)) (portRef ena (instanceRef msrc_reg4_reg)) (portRef ena (instanceRef msrc_reg3_reg)) (portRef ena (instanceRef msrc_reg2_reg)) (portRef ena (instanceRef msrc_reg1_reg)) (portRef ena (instanceRef msrc_reg0_reg)) (portRef ena (instanceRef malu_reg7_reg)) (portRef ena (instanceRef malu_reg6_reg)) (portRef ena (instanceRef malu_reg5_reg)) (portRef ena (instanceRef malu_reg4_reg)) (portRef ena (instanceRef malu_reg3_reg)) (portRef ena (instanceRef malu_reg2_reg)) (portRef ena (instanceRef malu_reg1_reg)) (portRef ena (instanceRef malu_reg0_reg)) (portRef ena (instanceRef mop_reg5_reg)) (portRef ena (instanceRef mop_reg4_reg)) (portRef ena (instanceRef mop_reg3_reg)) (portRef ena (instanceRef mop_reg2_reg)) (portRef ena (instanceRef mop_reg1_reg)) (portRef ena (instanceRef mop_reg0_reg)) (portRef out (instanceRef nor__2_30)) ) ) (net NET534 (joined (portRef ena (instanceRef mar_reg7_reg)) (portRef ena (instanceRef mar_reg6_reg)) (portRef ena (instanceRef mar_reg5_reg)) (portRef ena (instanceRef mar_reg4_reg)) (portRef ena (instanceRef mar_reg3_reg)) (portRef ena (instanceRef mar_reg2_reg)) (portRef ena (instanceRef mar_reg1_reg)) (portRef ena (instanceRef mar_reg0_reg)) (portRef out (instanceRef nor__4_29)) ) ) (net NET535 (joined (portRef ena (instanceRef btbv_reg)) (portRef out (instanceRef nand__2_32)) ) ) (net NET536 (joined (portRef out (instanceRef inv_20)) (portRef d (instanceRef exec__all_reg)) ) ) (net NET537 (joined (portRef in (instanceRef inv_20)) (portRef out (instanceRef nor_32)) (portRef in2 (instanceRef id_idt_nor_1)) (portRef in2 (instanceRef id__all_nor_1)) (portRef ena (instanceRef etif_reg1_reg)) (portRef ena (instanceRef etif_reg0_reg)) (portRef ena (instanceRef eop_reg15_reg)) (portRef ena (instanceRef eop_reg14_reg)) (portRef ena (instanceRef eop_reg13_reg)) (portRef ena (instanceRef eop_reg12_reg)) (portRef ena (instanceRef eop_reg11_reg)) (portRef ena (instanceRef eop_reg10_reg)) (portRef ena (instanceRef eop_reg7_reg)) (portRef ena (instanceRef eop_reg6_reg)) (portRef ena (instanceRef eop_reg5_reg)) (portRef ena (instanceRef eop_reg4_reg)) (portRef ena (instanceRef eop_reg3_reg)) (portRef ena (instanceRef eop_reg2_reg)) (portRef ena (instanceRef eop_reg1_reg)) (portRef ena (instanceRef eop_reg0_reg)) (portRef ena (instanceRef eop2_reg7_reg)) (portRef ena (instanceRef eop2_reg6_reg)) (portRef ena (instanceRef eop2_reg5_reg)) (portRef ena (instanceRef eop2_reg4_reg)) (portRef ena (instanceRef eop2_reg3_reg)) (portRef ena (instanceRef eop2_reg2_reg)) (portRef ena (instanceRef eop2_reg1_reg)) (portRef ena (instanceRef eop2_reg0_reg)) (portRef ena (instanceRef eop1_reg7_reg)) (portRef ena (instanceRef eop1_reg6_reg)) (portRef ena (instanceRef eop1_reg5_reg)) (portRef ena (instanceRef eop1_reg4_reg)) (portRef ena (instanceRef eop1_reg3_reg)) (portRef ena (instanceRef eop1_reg2_reg)) (portRef ena (instanceRef eop1_reg1_reg)) (portRef ena (instanceRef eop1_reg0_reg)) (portRef ena (instanceRef epc_reg7_reg)) (portRef ena (instanceRef epc_reg6_reg)) (portRef ena (instanceRef epc_reg5_reg)) (portRef ena (instanceRef epc_reg4_reg)) (portRef ena (instanceRef epc_reg3_reg)) (portRef ena (instanceRef epc_reg2_reg)) (portRef ena (instanceRef epc_reg1_reg)) (portRef ena (instanceRef epc_reg0_reg)) (portRef ena (instanceRef alusel_reg4_reg)) (portRef ena (instanceRef alusel_reg3_reg)) (portRef ena (instanceRef alusel_reg2_reg)) (portRef ena (instanceRef alusel_reg1_reg)) (portRef ena (instanceRef alusel_reg0_reg)) (portRef ena (instanceRef clasel2_reg3_reg)) (portRef ena (instanceRef clasel2_reg2_reg)) (portRef ena (instanceRef clasel2_reg1_reg)) (portRef ena (instanceRef clasel2_reg0_reg)) (portRef ena (instanceRef clasel1_reg3_reg)) (portRef ena (instanceRef clasel1_reg2_reg)) (portRef ena (instanceRef clasel1_reg1_reg)) (portRef ena (instanceRef clasel1_reg0_reg)) ) ) (net NET538 (joined (portRef out (instanceRef nand_9)) (portRef ena (instanceRef if_0_reg)) ) ) (net NET539 (joined (portRef out (instanceRef nand_11)) (portRef ena (instanceRef if_1_reg)) ) ) (net NET540 (joined (portRef out (instanceRef nand_40)) (portRef ena (instanceRef ir3_reg7_reg)) (portRef ena (instanceRef ir3_reg6_reg)) (portRef ena (instanceRef ir3_reg5_reg)) (portRef ena (instanceRef ir3_reg4_reg)) (portRef ena (instanceRef ir3_reg3_reg)) (portRef ena (instanceRef ir3_reg2_reg)) (portRef ena (instanceRef ir3_reg1_reg)) (portRef ena (instanceRef ir3_reg0_reg)) ) ) (net NET541 (joined (portRef out (instanceRef nand_6)) (portRef ena (instanceRef inten_reg)) ) ) (net NET542 (joined (portRef out (instanceRef nand_38)) (portRef ena (instanceRef ir1_reg7_reg)) (portRef ena (instanceRef ir1_reg6_reg)) (portRef ena (instanceRef ir1_reg5_reg)) (portRef ena (instanceRef ir1_reg4_reg)) (portRef ena (instanceRef ir1_reg3_reg)) (portRef ena (instanceRef ir1_reg2_reg)) (portRef ena (instanceRef ir1_reg1_reg)) (portRef ena (instanceRef ir1_reg0_reg)) ) ) (net NET543 (joined (portRef out (instanceRef nand_5)) (portRef ena (instanceRef cntintflag_reg)) ) ) (net NET544 (joined (portRef ena (instanceRef eforcenop_reg)) (portRef out (instanceRef nand__2_31)) ) ) (net NET545 (joined (portRef out (instanceRef nand_13)) (portRef ena (instanceRef ntif_reg)) ) ) (net NET546 (joined (portRef out (instanceRef nand_15)) (portRef in1 (instanceRef if__all_nor_2)) (portRef ena (instanceRef pc_reg7_reg)) (portRef ena (instanceRef pc_reg6_reg)) (portRef ena (instanceRef pc_reg5_reg)) (portRef ena (instanceRef pc_reg4_reg)) (portRef ena (instanceRef pc_reg3_reg)) (portRef ena (instanceRef pc_reg2_reg)) (portRef ena (instanceRef pc_reg1_reg)) (portRef ena (instanceRef pc_reg0_reg)) ) ) (net NET547 (joined (portRef out (instanceRef inv_19)) (portRef in2 (instanceRef gr_nor_9)) (portRef in2 (instanceRef gr_nor_8)) ) ) (net NET548 (joined (portRef in (instanceRef inv_19)) (portRef q (instanceRef wop_reg1_reg)) (portRef in2 (instanceRef gr_nor_10)) (portRef in2 (instanceRef gr_nor_11)) ) ) (net NET549 (joined (portRef out (instanceRef inv_18)) (portRef in1 (instanceRef gr_nor_10)) (portRef in1 (instanceRef gr_nor_8)) ) ) (net NET550 (joined (portRef in (instanceRef inv_18)) (portRef q (instanceRef wop_reg0_reg)) (portRef in1 (instanceRef gr_nor_9)) (portRef in1 (instanceRef gr_nor_11)) ) ) (net NET551 (joined (portRef memory_read) (portRef out (instanceRef nor_40)) ) ) (net NET552 (joined (portRef memory_write) (portRef out (instanceRef nor_39)) ) ) (net NET553 (joined (portRef q (instanceRef mop_reg0_reg)) (portRef d (instanceRef wop_reg0_reg)) ) ) (net NET554 (joined (portRef q (instanceRef mop_reg1_reg)) (portRef d (instanceRef wop_reg1_reg)) ) ) (net NET555 (joined (portRef out (instanceRef ealu_nand_1)) (portRef d (instanceRef malu_reg7_reg)) ) ) (net NET556 (joined (portRef out (instanceRef ealu_nand_17)) (portRef d (instanceRef malu_reg6_reg)) ) ) (net NET557 (joined (portRef out (instanceRef ealu_nand_23)) (portRef d (instanceRef malu_reg5_reg)) ) ) (net NET558 (joined (portRef out (instanceRef ealu_nand_20)) (portRef d (instanceRef malu_reg4_reg)) ) ) (net NET559 (joined (portRef out (instanceRef ealu_nand_14)) (portRef d (instanceRef malu_reg3_reg)) ) ) (net NET560 (joined (portRef out (instanceRef ealu_nand_11)) (portRef d (instanceRef malu_reg2_reg)) ) ) (net NET561 (joined (portRef out (instanceRef ealu_nand_8)) (portRef d (instanceRef malu_reg1_reg)) ) ) (net NET562 (joined (portRef out (instanceRef ealu_nand_5)) (portRef d (instanceRef malu_reg0_reg)) ) ) (net NET563 (joined (portRef in1 (instanceRef enor_1)) (portRef in2 (instanceRef eor_22)) (portRef q (instanceRef btb_reg15_reg)) ) ) (net NET564 (joined (portRef in1 (instanceRef eor_15)) (portRef in2 (instanceRef enor_10)) (portRef q (instanceRef btb_reg14_reg)) ) ) (net NET565 (joined (portRef in1 (instanceRef eor_14)) (portRef in2 (instanceRef enor_9)) (portRef q (instanceRef btb_reg13_reg)) ) ) (net NET566 (joined (portRef in1 (instanceRef eor_13)) (portRef in2 (instanceRef enor_8)) (portRef q (instanceRef btb_reg12_reg)) ) ) (net NET567 (joined (portRef in1 (instanceRef eor_12)) (portRef in2 (instanceRef enor_7)) (portRef q (instanceRef btb_reg11_reg)) ) ) (net NET568 (joined (portRef in1 (instanceRef eor_11)) (portRef in2 (instanceRef eor_21)) (portRef q (instanceRef btb_reg10_reg)) ) ) (net NET569 (joined (portRef in1 (instanceRef eor_10)) (portRef in2 (instanceRef eor_20)) (portRef q (instanceRef btb_reg9_reg)) ) ) (net NET570 (joined (portRef in1 (instanceRef eor_9)) (portRef in2 (instanceRef enor_6)) (portRef q (instanceRef btb_reg8_reg)) ) ) (net NET571 (joined (portRef out (instanceRef nor_35)) (portRef d (instanceRef clasel1_reg0_reg)) ) ) (net NET572 (joined (portRef in2 (instanceRef nor_34)) (portRef in2 (instanceRef nor_35)) (portRef out (instanceRef nor_57)) (portRef d (instanceRef clasel1_reg2_reg)) ) ) (net NET573 (joined (portRef out (instanceRef nor_34)) (portRef d (instanceRef clasel1_reg3_reg)) ) ) (net NET574 (joined (portRef out (instanceRef nand_2)) (portRef d (instanceRef clasel2_reg0_reg)) ) ) (net NET575 (joined (portRef out (instanceRef nor_45_nor_1)) (portRef d (instanceRef clasel2_reg1_reg)) ) ) (net NET576 (joined (portRef out (instanceRef nor_2)) (portRef d (instanceRef clasel2_reg2_reg)) ) ) (net NET577 (joined (portRef out (instanceRef nor_63)) (portRef d (instanceRef clasel2_reg3_reg)) ) ) (net NET578 (joined (portRef out (instanceRef nand_26)) (portRef d (instanceRef alusel_reg0_reg)) ) ) (net NET579 (joined (portRef out (instanceRef nor_60)) (portRef d (instanceRef alusel_reg1_reg)) ) ) (net NET580 (joined (portRef out (instanceRef nor_33)) (portRef d (instanceRef alusel_reg2_reg)) ) ) (net NET581 (joined (portRef out (instanceRef nor_21)) (portRef d (instanceRef alusel_reg3_reg)) ) ) (net NET582 (joined (portRef out (instanceRef nor_22)) (portRef d (instanceRef alusel_reg4_reg)) ) ) (net NET583 (joined (portRef q (instanceRef dpc_reg7_reg)) (portRef d (instanceRef epc_reg7_reg)) ) ) (net NET584 (joined (portRef q (instanceRef dpc_reg6_reg)) (portRef d (instanceRef epc_reg6_reg)) ) ) (net NET585 (joined (portRef q (instanceRef dpc_reg5_reg)) (portRef d (instanceRef epc_reg5_reg)) ) ) (net NET586 (joined (portRef q (instanceRef dpc_reg4_reg)) (portRef d (instanceRef epc_reg4_reg)) ) ) (net NET587 (joined (portRef q (instanceRef dpc_reg3_reg)) (portRef d (instanceRef epc_reg3_reg)) ) ) (net NET588 (joined (portRef q (instanceRef dpc_reg2_reg)) (portRef d (instanceRef epc_reg2_reg)) ) ) (net NET589 (joined (portRef q (instanceRef dpc_reg1_reg)) (portRef d (instanceRef epc_reg1_reg)) ) ) (net NET590 (joined (portRef q (instanceRef dpc_reg0_reg)) (portRef d (instanceRef epc_reg0_reg)) ) ) (net NET591 (joined (portRef out (instanceRef gr_nand_68)) (portRef d (instanceRef eop1_reg7_reg)) ) ) (net NET592 (joined (portRef out (instanceRef gr_nand_79)) (portRef d (instanceRef eop1_reg6_reg)) ) ) (net NET593 (joined (portRef out (instanceRef gr_nand_77)) (portRef d (instanceRef eop1_reg5_reg)) ) ) (net NET594 (joined (portRef out (instanceRef gr_nand_75)) (portRef d (instanceRef eop1_reg4_reg)) ) ) (net NET595 (joined (portRef out (instanceRef gr_nand_67)) (portRef d (instanceRef eop1_reg3_reg)) ) ) (net NET596 (joined (portRef out (instanceRef gr_nand_72)) (portRef d (instanceRef eop1_reg2_reg)) ) ) (net NET597 (joined (portRef out (instanceRef gr_nand_73)) (portRef d (instanceRef eop1_reg1_reg)) ) ) (net NET598 (joined (portRef out (instanceRef gr_nand_70)) (portRef d (instanceRef eop1_reg0_reg)) ) ) (net NET599 (joined (portRef out (instanceRef inv_17)) (portRef d (instanceRef eop2_reg7_reg)) ) ) (net NET600 (joined (portRef in (instanceRef inv_17)) (portRef out (instanceRef dtop2_nand_1)) ) ) (net NET601 (joined (portRef out (instanceRef inv_16)) (portRef d (instanceRef eop2_reg6_reg)) ) ) (net NET602 (joined (portRef in (instanceRef inv_16)) (portRef out (instanceRef dtop2_nand_2)) ) ) (net NET603 (joined (portRef out (instanceRef inv_15)) (portRef d (instanceRef eop2_reg5_reg)) ) ) (net NET604 (joined (portRef in (instanceRef inv_15)) (portRef out (instanceRef dtop2_nand_3)) ) ) (net NET605 (joined (portRef out (instanceRef inv_14)) (portRef d (instanceRef eop2_reg4_reg)) ) ) (net NET606 (joined (portRef in (instanceRef inv_14)) (portRef out (instanceRef dtop2_nand_4)) ) ) (net NET607 (joined (portRef out (instanceRef inv_13)) (portRef d (instanceRef eop2_reg3_reg)) ) ) (net NET608 (joined (portRef in (instanceRef inv_13)) (portRef out (instanceRef dtop2_nand_5)) ) ) (net NET609 (joined (portRef out (instanceRef inv_12)) (portRef d (instanceRef eop2_reg2_reg)) ) ) (net NET610 (joined (portRef in (instanceRef inv_12)) (portRef out (instanceRef dtop2_nand_6)) ) ) (net NET611 (joined (portRef out (instanceRef inv_11)) (portRef d (instanceRef eop2_reg1_reg)) ) ) (net NET612 (joined (portRef in (instanceRef inv_11)) (portRef out (instanceRef dtop2_nand_7)) ) ) (net NET613 (joined (portRef out (instanceRef inv_10)) (portRef d (instanceRef eop2_reg0_reg)) ) ) (net NET614 (joined (portRef in (instanceRef inv_10)) (portRef out (instanceRef dtop2_nand_8)) ) ) (net NET615 (joined (portRef q (instanceRef op_reg5_reg)) (portRef d (instanceRef eop_reg5_reg)) ) ) (net NET616 (joined (portRef q (instanceRef op_reg4_reg)) (portRef d (instanceRef eop_reg4_reg)) ) ) (net NET617 (joined (portRef q (instanceRef op_reg3_reg)) (portRef d (instanceRef eop_reg3_reg)) ) ) (net NET618 (joined (portRef q (instanceRef op_reg2_reg)) (portRef d (instanceRef eop_reg2_reg)) ) ) (net NET619 (joined (portRef q (instanceRef op_reg1_reg)) (portRef d (instanceRef eop_reg1_reg)) ) ) (net NET620 (joined (portRef q (instanceRef op_reg0_reg)) (portRef d (instanceRef eop_reg0_reg)) ) ) (net NET621 (joined (portRef q (instanceRef dtif_reg1_reg)) (portRef d (instanceRef etif_reg1_reg)) ) ) (net NET622 (joined (portRef q (instanceRef dtif_reg0_reg)) (portRef d (instanceRef etif_reg0_reg)) ) ) (net NET623 (joined (portRef in3 (instanceRef nor_63)) (portRef in3 (instanceRef nor_36)) (portRef out (instanceRef inv_9)) ) ) (net NET624 (joined (portRef in3 (instanceRef nand_47)) (portRef in (instanceRef inv_9)) (portRef q (instanceRef ru3_reg3_reg)) ) ) (net NET625 (joined (portRef in2 (instanceRef enor_5)) (portRef in2 (instanceRef eor_17)) (portRef q (instanceRef ru3_reg2_reg)) ) ) (net NET626 (joined (portRef in2 (instanceRef enor_4)) (portRef in2 (instanceRef eor_16)) (portRef q (instanceRef ru3_reg1_reg)) ) ) (net NET627 (joined (portRef d (instanceRef op_reg15_reg)) (portRef inst_15_) ) ) (net NET628 (joined (portRef d (instanceRef op_reg14_reg)) (portRef inst_14_) ) ) (net NET629 (joined (portRef d (instanceRef op_reg13_reg)) (portRef inst_13_) ) ) (net NET630 (joined (portRef d (instanceRef op_reg12_reg)) (portRef inst_12_) ) ) (net NET631 (joined (portRef d (instanceRef op_reg11_reg)) (portRef inst_11_) ) ) (net NET632 (joined (portRef d (instanceRef op_reg10_reg)) (portRef inst_10_) ) ) (net NET633 (joined (portRef d (instanceRef op_reg9_reg)) (portRef inst_9_) ) ) (net NET634 (joined (portRef d (instanceRef op_reg8_reg)) (portRef inst_8_) ) ) (net NET635 (joined (portRef d (instanceRef op_reg7_reg)) (portRef inst_7_) ) ) (net NET636 (joined (portRef d (instanceRef op_reg6_reg)) (portRef inst_6_) ) ) (net NET637 (joined (portRef d (instanceRef op_reg5_reg)) (portRef inst_5_) ) ) (net NET638 (joined (portRef d (instanceRef op_reg4_reg)) (portRef inst_4_) ) ) (net NET639 (joined (portRef d (instanceRef op_reg3_reg)) (portRef inst_3_) ) ) (net NET640 (joined (portRef d (instanceRef op_reg2_reg)) (portRef inst_2_) ) ) (net NET641 (joined (portRef d (instanceRef op_reg1_reg)) (portRef inst_1_) ) ) (net NET642 (joined (portRef d (instanceRef op_reg0_reg)) (portRef inst_0_) ) ) (net NET643 (joined (portRef adrs_7_) (portRef q (instanceRef mar_reg7_reg)) ) ) (net NET644 (joined (portRef adrs_6_) (portRef q (instanceRef mar_reg6_reg)) ) ) (net NET645 (joined (portRef adrs_5_) (portRef q (instanceRef mar_reg5_reg)) ) ) (net NET646 (joined (portRef adrs_4_) (portRef q (instanceRef mar_reg4_reg)) ) ) (net NET647 (joined (portRef adrs_3_) (portRef q (instanceRef mar_reg3_reg)) ) ) (net NET648 (joined (portRef adrs_2_) (portRef q (instanceRef mar_reg2_reg)) ) ) (net NET649 (joined (portRef adrs_1_) (portRef q (instanceRef mar_reg1_reg)) ) ) (net NET650 (joined (portRef adrs_0_) (portRef q (instanceRef mar_reg0_reg)) ) ) (net NET651 (joined (portRef out (instanceRef targetpc_nand_37)) (portRef d (instanceRef tpc_reg7_reg)) ) ) (net NET652 (joined (portRef out (instanceRef targetpc_nand_33)) (portRef d (instanceRef tpc_reg6_reg)) ) ) (net NET653 (joined (portRef out (instanceRef targetpc_nand_34)) (portRef d (instanceRef tpc_reg5_reg)) ) ) (net NET654 (joined (portRef out (instanceRef targetpc_nand_35)) (portRef d (instanceRef tpc_reg4_reg)) ) ) (net NET655 (joined (portRef out (instanceRef targetpc_nand_36)) (portRef d (instanceRef tpc_reg3_reg)) ) ) (net NET656 (joined (portRef out (instanceRef targetpc_nand_40)) (portRef d (instanceRef tpc_reg2_reg)) ) ) (net NET657 (joined (portRef out (instanceRef targetpc_nand_39)) (portRef d (instanceRef tpc_reg1_reg)) ) ) (net NET658 (joined (portRef out (instanceRef targetpc_nand_38)) (portRef d (instanceRef tpc_reg0_reg)) ) ) (net NET659 (joined (portRef q (instanceRef st0_reg)) (portRef d (instanceRef st1_reg)) ) ) (net NET660 (joined (portRef in1 (instanceRef nor_7)) (portRef out (instanceRef inv_8)) ) ) (net NET661 (joined (portRef in (instanceRef inv_8)) (portRef q (instanceRef st1_reg)) (portRef d (instanceRef st2_reg)) ) ) (net NET662 (joined (portRef out (instanceRef nand_28)) (portRef in2 (instanceRef mem__all_nor_1)) ) ) (net NET663 (joined (portRef out (instanceRef inv_7)) (portRef in2 (instanceRef int_intt_nor_1)) ) ) (net NET664 (joined (portRef in (instanceRef inv_7)) (portRef q (instanceRef int__all_reg)) ) ) (net NET665 (joined (portRef out (instanceRef inv_6)) (portRef d (instanceRef int__all_reg)) ) ) (net NET666 (joined (portRef in1 (instanceRef int_intt_nor_2)) (portRef extint) (portRef in (instanceRef inv_6)) ) ) (net NET667 (joined (portRef out (instanceRef inv_5)) (portRef ena (instanceRef streq_reg)) (portRef ena (instanceRef ru1_reg0_reg)) (portRef ena (instanceRef ru1_reg1_reg)) (portRef ena (instanceRef ru1_reg2_reg)) (portRef ena (instanceRef ru1_reg3_reg)) (portRef ena (instanceRef ru2_reg1_reg)) (portRef ena (instanceRef ru2_reg2_reg)) (portRef ena (instanceRef ru2_reg3_reg)) (portRef ena (instanceRef ru3_reg1_reg)) (portRef ena (instanceRef ru3_reg2_reg)) (portRef ena (instanceRef ru3_reg3_reg)) (portRef in1 (instanceRef pipectl__all_nor_1)) ) ) (net NET668 (joined (portRef in (instanceRef inv_5)) (portRef q (instanceRef pipectl__all_reg)) ) ) (net NET669 (joined (portRef in1 (instanceRef nand_39)) (portRef out (instanceRef inv_4)) (portRef in1 (instanceRef counter__all_nor_1)) ) ) (net NET670 (joined (portRef in (instanceRef inv_4)) (portRef q (instanceRef counter__all_reg)) ) ) (net NET671 (joined (portRef out (instanceRef inv_3)) (portRef ena (instanceRef xalu_reg7_reg)) (portRef ena (instanceRef xalu_reg6_reg)) (portRef ena (instanceRef xalu_reg5_reg)) (portRef ena (instanceRef xalu_reg4_reg)) (portRef ena (instanceRef xalu_reg3_reg)) (portRef ena (instanceRef xalu_reg2_reg)) (portRef ena (instanceRef xalu_reg1_reg)) (portRef ena (instanceRef xalu_reg0_reg)) ) ) (net NET672 (joined (portRef in (instanceRef inv_3)) (portRef q (instanceRef wrb__all_reg)) (portRef in3 (instanceRef gr_nor_8)) (portRef in3 (instanceRef gr_nor_9)) (portRef in3 (instanceRef gr_nor_10)) (portRef in3 (instanceRef gr_nor_11)) ) ) (net NET673 (joined (portRef out (instanceRef inv_2)) (portRef in2 (instanceRef gr_nor_1)) (portRef in2 (instanceRef gr_nor_3)) ) ) (net NET674 (joined (portRef in1 (instanceRef eor_19)) (portRef in1 (instanceRef enor_5)) (portRef in1 (instanceRef enor_12)) (portRef in (instanceRef inv_2)) (portRef q (instanceRef op_reg11_reg)) (portRef d (instanceRef eop_reg11_reg)) (portRef in2 (instanceRef gr_nor_6)) (portRef in2 (instanceRef gr_nor_7)) (portRef in2 (instanceRef sel_8_nand_1)) ) ) (net NET675 (joined (portRef out (instanceRef inv_1)) (portRef in1 (instanceRef gr_nor_1)) (portRef in1 (instanceRef gr_nor_6)) ) ) (net NET676 (joined (portRef in1 (instanceRef eor_18)) (portRef in1 (instanceRef enor_4)) (portRef in1 (instanceRef enor_11)) (portRef in (instanceRef inv_1)) (portRef q (instanceRef op_reg10_reg)) (portRef d (instanceRef eop_reg10_reg)) (portRef in1 (instanceRef gr_nor_3)) (portRef in1 (instanceRef gr_nor_7)) (portRef in2 (instanceRef sel_8_nand_6)) ) ) (net NET677 (joined (portRef in6 (instanceRef sel_20_nand_47)) (portRef out (instanceRef sel_20_nand_23)) ) ) (net NET678 (joined (portRef in5 (instanceRef sel_20_nand_47)) (portRef out (instanceRef sel_20_nand_16)) ) ) (net NET679 (joined (portRef in4 (instanceRef sel_20_nand_47)) (portRef out (instanceRef sel_20_nand_8)) ) ) (net NET680 (joined (portRef in3 (instanceRef sel_20_nand_47)) (portRef out (instanceRef sel_20_nand_30)) ) ) (net NET681 (joined (portRef in2 (instanceRef sel_20_nand_47)) (portRef out (instanceRef sel_20_nand_38)) ) ) (net NET682 (joined (portRef in1 (instanceRef sel_20_nand_47)) (portRef out (instanceRef sel_20_nand_2)) ) ) (net NET683 (joined (portRef in6 (instanceRef sel_20_nand_48)) (portRef out (instanceRef sel_20_nand_24)) ) ) (net NET684 (joined (portRef in5 (instanceRef sel_20_nand_48)) (portRef out (instanceRef sel_20_nand_17)) ) ) (net NET685 (joined (portRef in4 (instanceRef sel_20_nand_48)) (portRef out (instanceRef sel_20_nand_9)) ) ) (net NET686 (joined (portRef in3 (instanceRef sel_20_nand_48)) (portRef out (instanceRef sel_20_nand_31)) ) ) (net NET687 (joined (portRef in2 (instanceRef sel_20_nand_48)) (portRef out (instanceRef sel_20_nand_39)) ) ) (net NET688 (joined (portRef in1 (instanceRef sel_20_nand_48)) (portRef out (instanceRef sel_20_nand_3)) ) ) (net NET689 (joined (portRef in6 (instanceRef sel_20_nand_52)) (portRef out (instanceRef sel_20_nand_25)) ) ) (net NET690 (joined (portRef in5 (instanceRef sel_20_nand_52)) (portRef out (instanceRef sel_20_nand_18)) ) ) (net NET691 (joined (portRef in4 (instanceRef sel_20_nand_52)) (portRef out (instanceRef sel_20_nand_10)) ) ) (net NET692 (joined (portRef in3 (instanceRef sel_20_nand_52)) (portRef out (instanceRef sel_20_nand_32)) ) ) (net NET693 (joined (portRef in2 (instanceRef sel_20_nand_52)) (portRef out (instanceRef sel_20_nand_40)) ) ) (net NET694 (joined (portRef in6 (instanceRef sel_20_nand_49)) (portRef out (instanceRef sel_20_nand_26)) ) ) (net NET695 (joined (portRef in5 (instanceRef sel_20_nand_49)) (portRef out (instanceRef sel_20_nand_19)) ) ) (net NET696 (joined (portRef in4 (instanceRef sel_20_nand_49)) (portRef out (instanceRef sel_20_nand_11)) ) ) (net NET697 (joined (portRef in3 (instanceRef sel_20_nand_49)) (portRef out (instanceRef sel_20_nand_33)) ) ) (net NET698 (joined (portRef in2 (instanceRef sel_20_nand_49)) (portRef out (instanceRef sel_20_nand_41)) ) ) (net NET699 (joined (portRef in6 (instanceRef sel_20_nand_50)) (portRef out (instanceRef sel_20_nand_27)) ) ) (net NET700 (joined (portRef in5 (instanceRef sel_20_nand_50)) (portRef out (instanceRef sel_20_nand_20)) ) ) (net NET701 (joined (portRef in4 (instanceRef sel_20_nand_50)) (portRef out (instanceRef sel_20_nand_12)) ) ) (net NET702 (joined (portRef in3 (instanceRef sel_20_nand_50)) (portRef out (instanceRef sel_20_nand_34)) ) ) (net NET703 (joined (portRef in2 (instanceRef sel_20_nand_50)) (portRef out (instanceRef sel_20_nand_42)) ) ) (net NET704 (joined (portRef in6 (instanceRef sel_20_nand_51)) (portRef out (instanceRef sel_20_nand_28)) ) ) (net NET705 (joined (portRef in5 (instanceRef sel_20_nand_51)) (portRef out (instanceRef sel_20_nand_21)) ) ) (net NET706 (joined (portRef in4 (instanceRef sel_20_nand_51)) (portRef out (instanceRef sel_20_nand_13)) ) ) (net NET707 (joined (portRef in3 (instanceRef sel_20_nand_51)) (portRef out (instanceRef sel_20_nand_35)) ) ) (net NET708 (joined (portRef in2 (instanceRef sel_20_nand_51)) (portRef out (instanceRef sel_20_nand_43)) ) ) (net NET709 (joined (portRef in6 (instanceRef sel_20_nand_45)) (portRef out (instanceRef sel_20_nand_5)) ) ) (net NET710 (joined (portRef in5 (instanceRef sel_20_nand_45)) (portRef out (instanceRef sel_20_nand_6)) ) ) (net NET711 (joined (portRef in4 (instanceRef sel_20_nand_45)) (portRef out (instanceRef sel_20_nand_14)) ) ) (net NET712 (joined (portRef in3 (instanceRef sel_20_nand_45)) (portRef out (instanceRef sel_20_nand_36)) ) ) (net NET713 (joined (portRef in2 (instanceRef sel_20_nand_45)) (portRef out (instanceRef sel_20_nand_44)) ) ) (net NET714 (joined (portRef in1 (instanceRef sel_20_nand_45)) (portRef out (instanceRef sel_20_nand_4)) ) ) (net NET715 (joined (portRef in6 (instanceRef sel_20_nand_46)) (portRef out (instanceRef sel_20_nand_22)) ) ) (net NET716 (joined (portRef in5 (instanceRef sel_20_nand_46)) (portRef out (instanceRef sel_20_nand_15)) ) ) (net NET717 (joined (portRef in4 (instanceRef sel_20_nand_46)) (portRef out (instanceRef sel_20_nand_7)) ) ) (net NET718 (joined (portRef in3 (instanceRef sel_20_nand_46)) (portRef out (instanceRef sel_20_nand_29)) ) ) (net NET719 (joined (portRef in2 (instanceRef sel_20_nand_46)) (portRef out (instanceRef sel_20_nand_37)) ) ) (net NET720 (joined (portRef in1 (instanceRef sel_20_nand_46)) (portRef out (instanceRef sel_20_nand_1)) ) ) (net NET721 (joined (portRef in2 (instanceRef inc_nor_3)) (portRef out (instanceRef inc_nand_2)) (portRef in2 (instanceRef inc_enor_3)) ) ) (net NET722 (joined (portRef in2 (instanceRef inc_nand_2)) (portRef out (instanceRef inc_nor_2)) (portRef in2 (instanceRef inc_eor_3)) ) ) (net NET723 (joined (portRef in2 (instanceRef inc_nor_2)) (portRef out (instanceRef inc_nand_1)) (portRef in2 (instanceRef inc_enor_2)) ) ) (net NET724 (joined (portRef in2 (instanceRef inc_nand_1)) (portRef out (instanceRef inc_nor_1)) (portRef in2 (instanceRef inc_eor_2)) ) ) (net NET725 (joined (portRef in2 (instanceRef inc_nor_1)) (portRef out (instanceRef inc_nand_3)) (portRef in2 (instanceRef inc_enor_1)) ) ) (net NET726 (joined (portRef out (instanceRef inc_nor_3)) (portRef in2 (instanceRef inc_eor_4)) ) ) (net NET727 (joined (portRef in2 (instanceRef ealu_nand_5)) (portRef out (instanceRef ealu_nand_6)) ) ) (net NET728 (joined (portRef in1 (instanceRef ealu_nand_5)) (portRef out (instanceRef ealu_nand_4)) ) ) (net NET729 (joined (portRef in2 (instanceRef ealu_nand_8)) (portRef out (instanceRef ealu_nand_9)) ) ) (net NET730 (joined (portRef in1 (instanceRef ealu_nand_8)) (portRef out (instanceRef ealu_nand_7)) ) ) (net NET731 (joined (portRef in2 (instanceRef ealu_nand_11)) (portRef out (instanceRef ealu_nand_12)) ) ) (net NET732 (joined (portRef in1 (instanceRef ealu_nand_11)) (portRef out (instanceRef ealu_nand_10)) ) ) (net NET733 (joined (portRef in2 (instanceRef ealu_nand_14)) (portRef out (instanceRef ealu_nand_15)) ) ) (net NET734 (joined (portRef in1 (instanceRef ealu_nand_14)) (portRef out (instanceRef ealu_nand_13)) ) ) (net NET735 (joined (portRef in2 (instanceRef ealu_nand_20)) (portRef out (instanceRef ealu_nand_21)) ) ) (net NET736 (joined (portRef in1 (instanceRef ealu_nand_20)) (portRef out (instanceRef ealu_nand_19)) ) ) (net NET737 (joined (portRef in2 (instanceRef ealu_nand_23)) (portRef out (instanceRef ealu_nand_24)) ) ) (net NET738 (joined (portRef in1 (instanceRef ealu_nand_23)) (portRef out (instanceRef ealu_nand_22)) ) ) (net NET739 (joined (portRef in2 (instanceRef ealu_nand_17)) (portRef out (instanceRef ealu_nand_16)) ) ) (net NET740 (joined (portRef in1 (instanceRef ealu_nand_17)) (portRef out (instanceRef ealu_nand_18)) ) ) (net NET741 (joined (portRef in2 (instanceRef ealu_nand_1)) (portRef out (instanceRef ealu_nand_2)) ) ) (net NET742 (joined (portRef in1 (instanceRef ealu_nand_1)) (portRef out (instanceRef ealu_nand_3)) ) ) (net NET743 (joined (portRef in2 (instanceRef clain1_nand_5)) (portRef out (instanceRef clain1_nand_6)) ) ) (net NET744 (joined (portRef in1 (instanceRef clain1_nand_5)) (portRef out (instanceRef clain1_nand_4)) ) ) (net NET745 (joined (portRef in2 (instanceRef clain1_nand_8)) (portRef out (instanceRef clain1_nand_9)) ) ) (net NET746 (joined (portRef in1 (instanceRef clain1_nand_8)) (portRef out (instanceRef clain1_nand_7)) ) ) (net NET747 (joined (portRef in2 (instanceRef clain1_nand_11)) (portRef out (instanceRef clain1_nand_12)) ) ) (net NET748 (joined (portRef in1 (instanceRef clain1_nand_11)) (portRef out (instanceRef clain1_nand_10)) ) ) (net NET749 (joined (portRef in2 (instanceRef clain1_nand_14)) (portRef out (instanceRef clain1_nand_15)) ) ) (net NET750 (joined (portRef in1 (instanceRef clain1_nand_14)) (portRef out (instanceRef clain1_nand_13)) ) ) (net NET751 (joined (portRef in2 (instanceRef clain1_nand_20)) (portRef out (instanceRef clain1_nand_21)) ) ) (net NET752 (joined (portRef in1 (instanceRef clain1_nand_20)) (portRef out (instanceRef clain1_nand_19)) ) ) (net NET753 (joined (portRef in2 (instanceRef clain1_nand_23)) (portRef out (instanceRef clain1_nand_24)) ) ) (net NET754 (joined (portRef in1 (instanceRef clain1_nand_23)) (portRef out (instanceRef clain1_nand_22)) ) ) (net NET755 (joined (portRef in2 (instanceRef clain1_nand_17)) (portRef out (instanceRef clain1_nand_16)) ) ) (net NET756 (joined (portRef in1 (instanceRef clain1_nand_17)) (portRef out (instanceRef clain1_nand_18)) ) ) (net NET757 (joined (portRef in2 (instanceRef clain1_nand_1)) (portRef out (instanceRef clain1_nand_2)) ) ) (net NET758 (joined (portRef in1 (instanceRef clain1_nand_1)) (portRef out (instanceRef clain1_nand_3)) ) ) (net NET759 (joined (portRef in2 (instanceRef sel_18_nand_5)) (portRef out (instanceRef sel_18_nand_6)) ) ) (net NET760 (joined (portRef in1 (instanceRef sel_18_nand_5)) (portRef out (instanceRef sel_18_nand_4)) ) ) (net NET761 (joined (portRef in2 (instanceRef sel_18_nand_8)) (portRef out (instanceRef sel_18_nand_9)) ) ) (net NET762 (joined (portRef in1 (instanceRef sel_18_nand_8)) (portRef out (instanceRef sel_18_nand_7)) ) ) (net NET763 (joined (portRef in2 (instanceRef sel_18_nand_11)) (portRef out (instanceRef sel_18_nand_12)) ) ) (net NET764 (joined (portRef in1 (instanceRef sel_18_nand_11)) (portRef out (instanceRef sel_18_nand_10)) ) ) (net NET765 (joined (portRef in2 (instanceRef sel_18_nand_14)) (portRef out (instanceRef sel_18_nand_15)) ) ) (net NET766 (joined (portRef in1 (instanceRef sel_18_nand_14)) (portRef out (instanceRef sel_18_nand_13)) ) ) (net NET767 (joined (portRef in2 (instanceRef sel_18_nand_20)) (portRef out (instanceRef sel_18_nand_21)) ) ) (net NET768 (joined (portRef in1 (instanceRef sel_18_nand_20)) (portRef out (instanceRef sel_18_nand_19)) ) ) (net NET769 (joined (portRef in2 (instanceRef sel_18_nand_23)) (portRef out (instanceRef sel_18_nand_24)) ) ) (net NET770 (joined (portRef in1 (instanceRef sel_18_nand_23)) (portRef out (instanceRef sel_18_nand_22)) ) ) (net NET771 (joined (portRef in2 (instanceRef sel_18_nand_17)) (portRef out (instanceRef sel_18_nand_16)) ) ) (net NET772 (joined (portRef in1 (instanceRef sel_18_nand_17)) (portRef out (instanceRef sel_18_nand_18)) ) ) (net NET773 (joined (portRef in2 (instanceRef sel_18_nand_1)) (portRef out (instanceRef sel_18_nand_2)) ) ) (net NET774 (joined (portRef in1 (instanceRef sel_18_nand_1)) (portRef out (instanceRef sel_18_nand_3)) ) ) (net NET775 (joined (portRef in2 (instanceRef sel_13_nand_5)) (portRef out (instanceRef sel_13_nand_6)) ) ) (net NET776 (joined (portRef in1 (instanceRef sel_13_nand_5)) (portRef out (instanceRef sel_13_nand_4)) ) ) (net NET777 (joined (portRef in2 (instanceRef sel_13_nand_8)) (portRef out (instanceRef sel_13_nand_9)) ) ) (net NET778 (joined (portRef in1 (instanceRef sel_13_nand_8)) (portRef out (instanceRef sel_13_nand_7)) ) ) (net NET779 (joined (portRef in2 (instanceRef sel_13_nand_11)) (portRef out (instanceRef sel_13_nand_12)) ) ) (net NET780 (joined (portRef in1 (instanceRef sel_13_nand_11)) (portRef out (instanceRef sel_13_nand_10)) ) ) (net NET781 (joined (portRef in2 (instanceRef sel_13_nand_14)) (portRef out (instanceRef sel_13_nand_15)) ) ) (net NET782 (joined (portRef in1 (instanceRef sel_13_nand_14)) (portRef out (instanceRef sel_13_nand_13)) ) ) (net NET783 (joined (portRef in2 (instanceRef sel_13_nand_20)) (portRef out (instanceRef sel_13_nand_21)) ) ) (net NET784 (joined (portRef in1 (instanceRef sel_13_nand_20)) (portRef out (instanceRef sel_13_nand_19)) ) ) (net NET785 (joined (portRef in2 (instanceRef sel_13_nand_23)) (portRef out (instanceRef sel_13_nand_24)) ) ) (net NET786 (joined (portRef in1 (instanceRef sel_13_nand_23)) (portRef out (instanceRef sel_13_nand_22)) ) ) (net NET787 (joined (portRef in2 (instanceRef sel_13_nand_17)) (portRef out (instanceRef sel_13_nand_16)) ) ) (net NET788 (joined (portRef in1 (instanceRef sel_13_nand_17)) (portRef out (instanceRef sel_13_nand_18)) ) ) (net NET789 (joined (portRef in2 (instanceRef sel_13_nand_1)) (portRef out (instanceRef sel_13_nand_2)) ) ) (net NET790 (joined (portRef in1 (instanceRef sel_13_nand_1)) (portRef out (instanceRef sel_13_nand_3)) ) ) (net NET791 (joined (portRef in2 (instanceRef sel_11_nand_5)) (portRef out (instanceRef sel_11_inv_1)) ) ) (net NET792 (joined (portRef in (instanceRef sel_11_inv_1)) (portRef out (instanceRef sel_11_nor_1)) ) ) (net NET793 (joined (portRef in1 (instanceRef sel_11_nand_5)) (portRef out (instanceRef sel_11_nand_4)) ) ) (net NET794 (joined (portRef in2 (instanceRef sel_11_nand_7)) (portRef out (instanceRef sel_11_nand_8)) ) ) (net NET795 (joined (portRef in1 (instanceRef sel_11_nand_7)) (portRef out (instanceRef sel_11_nand_6)) ) ) (net NET796 (joined (portRef in2 (instanceRef sel_11_nand_10)) (portRef out (instanceRef sel_11_nand_11)) ) ) (net NET797 (joined (portRef in1 (instanceRef sel_11_nand_10)) (portRef out (instanceRef sel_11_nand_9)) ) ) (net NET798 (joined (portRef in2 (instanceRef sel_11_nand_13)) (portRef out (instanceRef sel_11_nand_14)) ) ) (net NET799 (joined (portRef in1 (instanceRef sel_11_nand_13)) (portRef out (instanceRef sel_11_nand_12)) ) ) (net NET800 (joined (portRef in2 (instanceRef sel_11_nand_19)) (portRef out (instanceRef sel_11_nand_20)) ) ) (net NET801 (joined (portRef in1 (instanceRef sel_11_nand_19)) (portRef out (instanceRef sel_11_nand_18)) ) ) (net NET802 (joined (portRef in2 (instanceRef sel_11_nand_22)) (portRef out (instanceRef sel_11_nand_23)) ) ) (net NET803 (joined (portRef in1 (instanceRef sel_11_nand_22)) (portRef out (instanceRef sel_11_nand_21)) ) ) (net NET804 (joined (portRef in2 (instanceRef sel_11_nand_16)) (portRef out (instanceRef sel_11_nand_15)) ) ) (net NET805 (joined (portRef in1 (instanceRef sel_11_nand_16)) (portRef out (instanceRef sel_11_nand_17)) ) ) (net NET806 (joined (portRef in2 (instanceRef sel_11_nand_1)) (portRef out (instanceRef sel_11_nand_2)) ) ) (net NET807 (joined (portRef in1 (instanceRef sel_11_nand_1)) (portRef out (instanceRef sel_11_nand_3)) ) ) (net NET808 (joined (portRef in2 (instanceRef sel_4_nand_5)) (portRef out (instanceRef sel_4_nand_6)) ) ) (net NET809 (joined (portRef in1 (instanceRef sel_4_nand_5)) (portRef out (instanceRef sel_4_nand_4)) ) ) (net NET810 (joined (portRef in2 (instanceRef sel_4_nand_8)) (portRef out (instanceRef sel_4_nand_9)) ) ) (net NET811 (joined (portRef in1 (instanceRef sel_4_nand_8)) (portRef out (instanceRef sel_4_nand_7)) ) ) (net NET812 (joined (portRef in2 (instanceRef sel_4_nand_11)) (portRef out (instanceRef sel_4_nand_12)) ) ) (net NET813 (joined (portRef in1 (instanceRef sel_4_nand_11)) (portRef out (instanceRef sel_4_nand_10)) ) ) (net NET814 (joined (portRef in2 (instanceRef sel_4_nand_14)) (portRef out (instanceRef sel_4_nand_15)) ) ) (net NET815 (joined (portRef in1 (instanceRef sel_4_nand_14)) (portRef out (instanceRef sel_4_nand_13)) ) ) (net NET816 (joined (portRef in2 (instanceRef sel_4_nand_20)) (portRef out (instanceRef sel_4_nand_21)) ) ) (net NET817 (joined (portRef in1 (instanceRef sel_4_nand_20)) (portRef out (instanceRef sel_4_nand_19)) ) ) (net NET818 (joined (portRef in2 (instanceRef sel_4_nand_23)) (portRef out (instanceRef sel_4_nand_24)) ) ) (net NET819 (joined (portRef in1 (instanceRef sel_4_nand_23)) (portRef out (instanceRef sel_4_nand_22)) ) ) (net NET820 (joined (portRef in2 (instanceRef sel_4_nand_17)) (portRef out (instanceRef sel_4_nand_16)) ) ) (net NET821 (joined (portRef in1 (instanceRef sel_4_nand_17)) (portRef out (instanceRef sel_4_nand_18)) ) ) (net NET822 (joined (portRef in2 (instanceRef sel_4_nand_1)) (portRef out (instanceRef sel_4_nand_2)) ) ) (net NET823 (joined (portRef in1 (instanceRef sel_4_nand_1)) (portRef out (instanceRef sel_4_nand_3)) ) ) (net NET824 (joined (portRef in1 (instanceRef sel_10_nand_2)) (portRef out (instanceRef sel_10_nand_1)) ) ) (net NET825 (joined (portRef in2 (instanceRef sel_1_nand_5)) (portRef out (instanceRef sel_1_nand_4)) ) ) (net NET826 (joined (portRef in1 (instanceRef sel_1_nand_5)) (portRef out (instanceRef sel_1_nand_6)) ) ) (net NET827 (joined (portRef in2 (instanceRef sel_1_nand_8)) (portRef out (instanceRef sel_1_nand_7)) ) ) (net NET828 (joined (portRef in1 (instanceRef sel_1_nand_8)) (portRef out (instanceRef sel_1_nand_9)) ) ) (net NET829 (joined (portRef in2 (instanceRef sel_1_nand_11)) (portRef out (instanceRef sel_1_nand_10)) ) ) (net NET830 (joined (portRef in1 (instanceRef sel_1_nand_11)) (portRef out (instanceRef sel_1_nand_12)) ) ) (net NET831 (joined (portRef in2 (instanceRef sel_1_nand_14)) (portRef out (instanceRef sel_1_nand_13)) ) ) (net NET832 (joined (portRef in1 (instanceRef sel_1_nand_14)) (portRef out (instanceRef sel_1_nand_15)) ) ) (net NET833 (joined (portRef in2 (instanceRef sel_1_nand_20)) (portRef out (instanceRef sel_1_nand_19)) ) ) (net NET834 (joined (portRef in1 (instanceRef sel_1_nand_20)) (portRef out (instanceRef sel_1_nand_21)) ) ) (net NET835 (joined (portRef in2 (instanceRef sel_1_nand_23)) (portRef out (instanceRef sel_1_nand_22)) ) ) (net NET836 (joined (portRef in1 (instanceRef sel_1_nand_23)) (portRef out (instanceRef sel_1_nand_24)) ) ) (net NET837 (joined (portRef in2 (instanceRef sel_1_nand_17)) (portRef out (instanceRef sel_1_nand_18)) ) ) (net NET838 (joined (portRef in1 (instanceRef sel_1_nand_17)) (portRef out (instanceRef sel_1_nand_16)) ) ) (net NET839 (joined (portRef in2 (instanceRef sel_1_nand_2)) (portRef out (instanceRef sel_1_nand_3)) ) ) (net NET840 (joined (portRef in1 (instanceRef sel_1_nand_2)) (portRef out (instanceRef sel_1_nand_1)) ) ) (net NET841 (joined (portRef in1 (instanceRef gr_nand_50)) (portRef in1 (instanceRef gr_nand_51)) (portRef q (instanceRef gr_r0_reg7_reg)) ) ) (net NET842 (joined (portRef in1 (instanceRef gr_nand_64)) (portRef in1 (instanceRef gr_nand_63)) (portRef q (instanceRef gr_r0_reg6_reg)) ) ) (net NET843 (joined (portRef in1 (instanceRef gr_nand_62)) (portRef in1 (instanceRef gr_nand_61)) (portRef q (instanceRef gr_r0_reg5_reg)) ) ) (net NET844 (joined (portRef in1 (instanceRef gr_nand_60)) (portRef in1 (instanceRef gr_nand_59)) (portRef q (instanceRef gr_r0_reg4_reg)) ) ) (net NET845 (joined (portRef in1 (instanceRef gr_nand_58)) (portRef in1 (instanceRef gr_nand_57)) (portRef q (instanceRef gr_r0_reg3_reg)) ) ) (net NET846 (joined (portRef in1 (instanceRef gr_nand_56)) (portRef in1 (instanceRef gr_nand_55)) (portRef q (instanceRef gr_r0_reg2_reg)) ) ) (net NET847 (joined (portRef in1 (instanceRef gr_nand_49)) (portRef in1 (instanceRef gr_nand_52)) (portRef q (instanceRef gr_r0_reg1_reg)) ) ) (net NET848 (joined (portRef in1 (instanceRef gr_nand_54)) (portRef in1 (instanceRef gr_nand_53)) (portRef q (instanceRef gr_r0_reg0_reg)) ) ) (net NET849 (joined (portRef in2 (instanceRef gr_nand_35)) (portRef in2 (instanceRef gr_nand_37)) (portRef in2 (instanceRef gr_nand_39)) (portRef in2 (instanceRef gr_nand_41)) (portRef in2 (instanceRef gr_nand_43)) (portRef in2 (instanceRef gr_nand_45)) (portRef in2 (instanceRef gr_nand_47)) (portRef in2 (instanceRef gr_nand_36)) (portRef out (instanceRef gr_nor_5)) ) ) (net NET850 (joined (portRef in1 (instanceRef gr_nand_36)) (portRef in1 (instanceRef gr_nand_33)) (portRef q (instanceRef gr_r1_reg7_reg)) ) ) (net NET851 (joined (portRef in1 (instanceRef gr_nand_47)) (portRef in1 (instanceRef gr_nand_48)) (portRef q (instanceRef gr_r1_reg6_reg)) ) ) (net NET852 (joined (portRef in1 (instanceRef gr_nand_45)) (portRef in1 (instanceRef gr_nand_46)) (portRef q (instanceRef gr_r1_reg5_reg)) ) ) (net NET853 (joined (portRef in1 (instanceRef gr_nand_43)) (portRef in1 (instanceRef gr_nand_44)) (portRef q (instanceRef gr_r1_reg4_reg)) ) ) (net NET854 (joined (portRef in1 (instanceRef gr_nand_41)) (portRef in1 (instanceRef gr_nand_42)) (portRef q (instanceRef gr_r1_reg3_reg)) ) ) (net NET855 (joined (portRef in1 (instanceRef gr_nand_39)) (portRef in1 (instanceRef gr_nand_40)) (portRef q (instanceRef gr_r1_reg2_reg)) ) ) (net NET856 (joined (portRef in1 (instanceRef gr_nand_37)) (portRef in1 (instanceRef gr_nand_38)) (portRef q (instanceRef gr_r1_reg1_reg)) ) ) (net NET857 (joined (portRef in1 (instanceRef gr_nand_35)) (portRef in1 (instanceRef gr_nand_34)) (portRef q (instanceRef gr_r1_reg0_reg)) ) ) (net NET858 (joined (portRef in2 (instanceRef gr_nand_18)) (portRef in2 (instanceRef gr_nand_17)) (portRef in2 (instanceRef gr_nand_22)) (portRef in2 (instanceRef gr_nand_24)) (portRef in2 (instanceRef gr_nand_26)) (portRef in2 (instanceRef gr_nand_28)) (portRef in2 (instanceRef gr_nand_30)) (portRef in2 (instanceRef gr_nand_32)) (portRef out (instanceRef gr_nor_4)) ) ) (net NET859 (joined (portRef in1 (instanceRef gr_nand_32)) (portRef in1 (instanceRef gr_nand_31)) (portRef q (instanceRef gr_r2_reg7_reg)) ) ) (net NET860 (joined (portRef in1 (instanceRef gr_nand_30)) (portRef in1 (instanceRef gr_nand_29)) (portRef q (instanceRef gr_r2_reg6_reg)) ) ) (net NET861 (joined (portRef in1 (instanceRef gr_nand_28)) (portRef in1 (instanceRef gr_nand_27)) (portRef q (instanceRef gr_r2_reg5_reg)) ) ) (net NET862 (joined (portRef in1 (instanceRef gr_nand_26)) (portRef in1 (instanceRef gr_nand_25)) (portRef q (instanceRef gr_r2_reg4_reg)) ) ) (net NET863 (joined (portRef in1 (instanceRef gr_nand_24)) (portRef in1 (instanceRef gr_nand_23)) (portRef q (instanceRef gr_r2_reg3_reg)) ) ) (net NET864 (joined (portRef in1 (instanceRef gr_nand_22)) (portRef in1 (instanceRef gr_nand_21)) (portRef q (instanceRef gr_r2_reg2_reg)) ) ) (net NET865 (joined (portRef in1 (instanceRef gr_nand_17)) (portRef in1 (instanceRef gr_nand_20)) (portRef q (instanceRef gr_r2_reg1_reg)) ) ) (net NET866 (joined (portRef in1 (instanceRef gr_nand_18)) (portRef in1 (instanceRef gr_nand_19)) (portRef q (instanceRef gr_r2_reg0_reg)) ) ) (net NET867 (joined (portRef in2 (instanceRef gr_nand_1)) (portRef in2 (instanceRef gr_nand_2)) (portRef in2 (instanceRef gr_nand_6)) (portRef in2 (instanceRef gr_nand_8)) (portRef in2 (instanceRef gr_nand_10)) (portRef in2 (instanceRef gr_nand_12)) (portRef in2 (instanceRef gr_nand_14)) (portRef in2 (instanceRef gr_nand_16)) (portRef out (instanceRef gr_nor_2)) ) ) (net NET868 (joined (portRef in1 (instanceRef gr_nand_16)) (portRef in1 (instanceRef gr_nand_15)) (portRef q (instanceRef gr_r3_reg7_reg)) ) ) (net NET869 (joined (portRef in1 (instanceRef gr_nand_14)) (portRef in1 (instanceRef gr_nand_13)) (portRef q (instanceRef gr_r3_reg6_reg)) ) ) (net NET870 (joined (portRef in1 (instanceRef gr_nand_12)) (portRef in1 (instanceRef gr_nand_11)) (portRef q (instanceRef gr_r3_reg5_reg)) ) ) (net NET871 (joined (portRef in1 (instanceRef gr_nand_10)) (portRef in1 (instanceRef gr_nand_9)) (portRef q (instanceRef gr_r3_reg4_reg)) ) ) (net NET872 (joined (portRef in1 (instanceRef gr_nand_8)) (portRef in1 (instanceRef gr_nand_7)) (portRef q (instanceRef gr_r3_reg3_reg)) ) ) (net NET873 (joined (portRef in1 (instanceRef gr_nand_6)) (portRef in1 (instanceRef gr_nand_5)) (portRef q (instanceRef gr_r3_reg2_reg)) ) ) (net NET874 (joined (portRef in1 (instanceRef gr_nand_2)) (portRef in1 (instanceRef gr_nand_3)) (portRef q (instanceRef gr_r3_reg1_reg)) ) ) (net NET875 (joined (portRef in1 (instanceRef gr_nand_1)) (portRef in1 (instanceRef gr_nand_4)) (portRef q (instanceRef gr_r3_reg0_reg)) ) ) (net NET876 (joined (portRef in2 (instanceRef gr_nand_53)) (portRef in2 (instanceRef gr_nand_52)) (portRef in2 (instanceRef gr_nand_55)) (portRef in2 (instanceRef gr_nand_57)) (portRef in2 (instanceRef gr_nand_59)) (portRef in2 (instanceRef gr_nand_61)) (portRef in2 (instanceRef gr_nand_63)) (portRef in2 (instanceRef gr_nand_51)) (portRef out (instanceRef gr_nor_7)) ) ) (net NET877 (joined (portRef in2 (instanceRef gr_nand_34)) (portRef in2 (instanceRef gr_nand_38)) (portRef in2 (instanceRef gr_nand_40)) (portRef in2 (instanceRef gr_nand_42)) (portRef in2 (instanceRef gr_nand_44)) (portRef in2 (instanceRef gr_nand_46)) (portRef in2 (instanceRef gr_nand_48)) (portRef in2 (instanceRef gr_nand_33)) (portRef out (instanceRef gr_nor_6)) ) ) (net NET878 (joined (portRef in2 (instanceRef gr_nand_19)) (portRef in2 (instanceRef gr_nand_20)) (portRef in2 (instanceRef gr_nand_21)) (portRef in2 (instanceRef gr_nand_23)) (portRef in2 (instanceRef gr_nand_25)) (portRef in2 (instanceRef gr_nand_27)) (portRef in2 (instanceRef gr_nand_29)) (portRef in2 (instanceRef gr_nand_31)) (portRef out (instanceRef gr_nor_3)) ) ) (net NET879 (joined (portRef in2 (instanceRef gr_nand_4)) (portRef in2 (instanceRef gr_nand_3)) (portRef in2 (instanceRef gr_nand_5)) (portRef in2 (instanceRef gr_nand_7)) (portRef in2 (instanceRef gr_nand_9)) (portRef in2 (instanceRef gr_nand_11)) (portRef in2 (instanceRef gr_nand_13)) (portRef in2 (instanceRef gr_nand_15)) (portRef out (instanceRef gr_nor_1)) ) ) (net NET880 (joined (portRef ena (instanceRef gr_r0_reg0_reg)) (portRef ena (instanceRef gr_r0_reg1_reg)) (portRef ena (instanceRef gr_r0_reg2_reg)) (portRef ena (instanceRef gr_r0_reg3_reg)) (portRef ena (instanceRef gr_r0_reg4_reg)) (portRef ena (instanceRef gr_r0_reg5_reg)) (portRef ena (instanceRef gr_r0_reg6_reg)) (portRef ena (instanceRef gr_r0_reg7_reg)) (portRef out (instanceRef gr_nor_11)) ) ) (net NET881 (joined (portRef ena (instanceRef gr_r1_reg0_reg)) (portRef ena (instanceRef gr_r1_reg1_reg)) (portRef ena (instanceRef gr_r1_reg2_reg)) (portRef ena (instanceRef gr_r1_reg3_reg)) (portRef ena (instanceRef gr_r1_reg4_reg)) (portRef ena (instanceRef gr_r1_reg5_reg)) (portRef ena (instanceRef gr_r1_reg6_reg)) (portRef ena (instanceRef gr_r1_reg7_reg)) (portRef out (instanceRef gr_nor_10)) ) ) (net NET882 (joined (portRef ena (instanceRef gr_r2_reg0_reg)) (portRef ena (instanceRef gr_r2_reg1_reg)) (portRef ena (instanceRef gr_r2_reg2_reg)) (portRef ena (instanceRef gr_r2_reg3_reg)) (portRef ena (instanceRef gr_r2_reg4_reg)) (portRef ena (instanceRef gr_r2_reg5_reg)) (portRef ena (instanceRef gr_r2_reg6_reg)) (portRef ena (instanceRef gr_r2_reg7_reg)) (portRef out (instanceRef gr_nor_9)) ) ) (net NET883 (joined (portRef ena (instanceRef gr_r3_reg0_reg)) (portRef ena (instanceRef gr_r3_reg1_reg)) (portRef ena (instanceRef gr_r3_reg2_reg)) (portRef ena (instanceRef gr_r3_reg3_reg)) (portRef ena (instanceRef gr_r3_reg4_reg)) (portRef ena (instanceRef gr_r3_reg5_reg)) (portRef ena (instanceRef gr_r3_reg6_reg)) (portRef ena (instanceRef gr_r3_reg7_reg)) (portRef out (instanceRef gr_nor_8)) ) ) (net NET884 (joined (portRef in4 (instanceRef gr_nand_70)) (portRef out (instanceRef gr_nand_4)) ) ) (net NET885 (joined (portRef in3 (instanceRef gr_nand_70)) (portRef out (instanceRef gr_nand_19)) ) ) (net NET886 (joined (portRef in2 (instanceRef gr_nand_70)) (portRef out (instanceRef gr_nand_34)) ) ) (net NET887 (joined (portRef in1 (instanceRef gr_nand_70)) (portRef out (instanceRef gr_nand_53)) ) ) (net NET888 (joined (portRef in4 (instanceRef gr_nand_73)) (portRef out (instanceRef gr_nand_3)) ) ) (net NET889 (joined (portRef in3 (instanceRef gr_nand_73)) (portRef out (instanceRef gr_nand_20)) ) ) (net NET890 (joined (portRef in2 (instanceRef gr_nand_73)) (portRef out (instanceRef gr_nand_38)) ) ) (net NET891 (joined (portRef in1 (instanceRef gr_nand_73)) (portRef out (instanceRef gr_nand_52)) ) ) (net NET892 (joined (portRef in4 (instanceRef gr_nand_72)) (portRef out (instanceRef gr_nand_5)) ) ) (net NET893 (joined (portRef in3 (instanceRef gr_nand_72)) (portRef out (instanceRef gr_nand_21)) ) ) (net NET894 (joined (portRef in2 (instanceRef gr_nand_72)) (portRef out (instanceRef gr_nand_40)) ) ) (net NET895 (joined (portRef in1 (instanceRef gr_nand_72)) (portRef out (instanceRef gr_nand_55)) ) ) (net NET896 (joined (portRef in4 (instanceRef gr_nand_67)) (portRef out (instanceRef gr_nand_7)) ) ) (net NET897 (joined (portRef in3 (instanceRef gr_nand_67)) (portRef out (instanceRef gr_nand_23)) ) ) (net NET898 (joined (portRef in2 (instanceRef gr_nand_67)) (portRef out (instanceRef gr_nand_42)) ) ) (net NET899 (joined (portRef in1 (instanceRef gr_nand_67)) (portRef out (instanceRef gr_nand_57)) ) ) (net NET900 (joined (portRef in4 (instanceRef gr_nand_75)) (portRef out (instanceRef gr_nand_9)) ) ) (net NET901 (joined (portRef in3 (instanceRef gr_nand_75)) (portRef out (instanceRef gr_nand_25)) ) ) (net NET902 (joined (portRef in2 (instanceRef gr_nand_75)) (portRef out (instanceRef gr_nand_44)) ) ) (net NET903 (joined (portRef in1 (instanceRef gr_nand_75)) (portRef out (instanceRef gr_nand_59)) ) ) (net NET904 (joined (portRef in4 (instanceRef gr_nand_77)) (portRef out (instanceRef gr_nand_11)) ) ) (net NET905 (joined (portRef in3 (instanceRef gr_nand_77)) (portRef out (instanceRef gr_nand_27)) ) ) (net NET906 (joined (portRef in2 (instanceRef gr_nand_77)) (portRef out (instanceRef gr_nand_46)) ) ) (net NET907 (joined (portRef in1 (instanceRef gr_nand_77)) (portRef out (instanceRef gr_nand_61)) ) ) (net NET908 (joined (portRef in4 (instanceRef gr_nand_79)) (portRef out (instanceRef gr_nand_13)) ) ) (net NET909 (joined (portRef in3 (instanceRef gr_nand_79)) (portRef out (instanceRef gr_nand_29)) ) ) (net NET910 (joined (portRef in2 (instanceRef gr_nand_79)) (portRef out (instanceRef gr_nand_48)) ) ) (net NET911 (joined (portRef in1 (instanceRef gr_nand_79)) (portRef out (instanceRef gr_nand_63)) ) ) (net NET912 (joined (portRef in4 (instanceRef gr_nand_68)) (portRef out (instanceRef gr_nand_15)) ) ) (net NET913 (joined (portRef in3 (instanceRef gr_nand_68)) (portRef out (instanceRef gr_nand_31)) ) ) (net NET914 (joined (portRef in2 (instanceRef gr_nand_68)) (portRef out (instanceRef gr_nand_33)) ) ) (net NET915 (joined (portRef in1 (instanceRef gr_nand_68)) (portRef out (instanceRef gr_nand_51)) ) ) (net NET916 (joined (portRef in4 (instanceRef gr_nand_69)) (portRef out (instanceRef gr_nand_1)) ) ) (net NET917 (joined (portRef in3 (instanceRef gr_nand_69)) (portRef out (instanceRef gr_nand_18)) ) ) (net NET918 (joined (portRef in2 (instanceRef gr_nand_69)) (portRef out (instanceRef gr_nand_35)) ) ) (net NET919 (joined (portRef in1 (instanceRef gr_nand_69)) (portRef out (instanceRef gr_nand_54)) ) ) (net NET920 (joined (portRef in4 (instanceRef gr_nand_74)) (portRef out (instanceRef gr_nand_2)) ) ) (net NET921 (joined (portRef in3 (instanceRef gr_nand_74)) (portRef out (instanceRef gr_nand_17)) ) ) (net NET922 (joined (portRef in2 (instanceRef gr_nand_74)) (portRef out (instanceRef gr_nand_37)) ) ) (net NET923 (joined (portRef in1 (instanceRef gr_nand_74)) (portRef out (instanceRef gr_nand_49)) ) ) (net NET924 (joined (portRef in4 (instanceRef gr_nand_71)) (portRef out (instanceRef gr_nand_6)) ) ) (net NET925 (joined (portRef in3 (instanceRef gr_nand_71)) (portRef out (instanceRef gr_nand_22)) ) ) (net NET926 (joined (portRef in2 (instanceRef gr_nand_71)) (portRef out (instanceRef gr_nand_39)) ) ) (net NET927 (joined (portRef in1 (instanceRef gr_nand_71)) (portRef out (instanceRef gr_nand_56)) ) ) (net NET928 (joined (portRef in4 (instanceRef gr_nand_65)) (portRef out (instanceRef gr_nand_8)) ) ) (net NET929 (joined (portRef in3 (instanceRef gr_nand_65)) (portRef out (instanceRef gr_nand_24)) ) ) (net NET930 (joined (portRef in2 (instanceRef gr_nand_65)) (portRef out (instanceRef gr_nand_41)) ) ) (net NET931 (joined (portRef in1 (instanceRef gr_nand_65)) (portRef out (instanceRef gr_nand_58)) ) ) (net NET932 (joined (portRef in4 (instanceRef gr_nand_76)) (portRef out (instanceRef gr_nand_10)) ) ) (net NET933 (joined (portRef in3 (instanceRef gr_nand_76)) (portRef out (instanceRef gr_nand_26)) ) ) (net NET934 (joined (portRef in2 (instanceRef gr_nand_76)) (portRef out (instanceRef gr_nand_43)) ) ) (net NET935 (joined (portRef in1 (instanceRef gr_nand_76)) (portRef out (instanceRef gr_nand_60)) ) ) (net NET936 (joined (portRef in4 (instanceRef gr_nand_78)) (portRef out (instanceRef gr_nand_12)) ) ) (net NET937 (joined (portRef in3 (instanceRef gr_nand_78)) (portRef out (instanceRef gr_nand_28)) ) ) (net NET938 (joined (portRef in2 (instanceRef gr_nand_78)) (portRef out (instanceRef gr_nand_45)) ) ) (net NET939 (joined (portRef in1 (instanceRef gr_nand_78)) (portRef out (instanceRef gr_nand_62)) ) ) (net NET940 (joined (portRef in4 (instanceRef gr_nand_80)) (portRef out (instanceRef gr_nand_14)) ) ) (net NET941 (joined (portRef in3 (instanceRef gr_nand_80)) (portRef out (instanceRef gr_nand_30)) ) ) (net NET942 (joined (portRef in2 (instanceRef gr_nand_80)) (portRef out (instanceRef gr_nand_47)) ) ) (net NET943 (joined (portRef in1 (instanceRef gr_nand_80)) (portRef out (instanceRef gr_nand_64)) ) ) (net NET944 (joined (portRef in4 (instanceRef gr_nand_66)) (portRef out (instanceRef gr_nand_16)) ) ) (net NET945 (joined (portRef in3 (instanceRef gr_nand_66)) (portRef out (instanceRef gr_nand_32)) ) ) (net NET946 (joined (portRef in2 (instanceRef gr_nand_66)) (portRef out (instanceRef gr_nand_36)) ) ) (net NET947 (joined (portRef in1 (instanceRef gr_nand_66)) (portRef out (instanceRef gr_nand_50)) ) ) (net NET948 (joined (portRef VSS) (portRef in6 (instanceRef nor_45_nor_1)) ) ) (net NET949 (joined (portRef in2 (instanceRef sel_17_nand_5)) (portRef out (instanceRef sel_17_nand_6)) ) ) (net NET950 (joined (portRef in1 (instanceRef sel_17_nand_5)) (portRef out (instanceRef sel_17_nand_4)) ) ) (net NET951 (joined (portRef in2 (instanceRef sel_17_nand_8)) (portRef out (instanceRef sel_17_nand_9)) ) ) (net NET952 (joined (portRef in1 (instanceRef sel_17_nand_8)) (portRef out (instanceRef sel_17_nand_7)) ) ) (net NET953 (joined (portRef in2 (instanceRef sel_17_nand_12)) (portRef out (instanceRef sel_17_nand_11)) ) ) (net NET954 (joined (portRef in1 (instanceRef sel_17_nand_12)) (portRef out (instanceRef sel_17_nand_10)) ) ) (net NET955 (joined (portRef in2 (instanceRef sel_17_nand_15)) (portRef out (instanceRef sel_17_nand_14)) ) ) (net NET956 (joined (portRef in1 (instanceRef sel_17_nand_15)) (portRef out (instanceRef sel_17_nand_13)) ) ) (net NET957 (joined (portRef in2 (instanceRef sel_17_nand_18)) (portRef out (instanceRef sel_17_nand_17)) ) ) (net NET958 (joined (portRef in1 (instanceRef sel_17_nand_18)) (portRef out (instanceRef sel_17_nand_16)) ) ) (net NET959 (joined (portRef in2 (instanceRef sel_17_nand_1)) (portRef out (instanceRef sel_17_nand_2)) ) ) (net NET960 (joined (portRef in1 (instanceRef sel_17_nand_1)) (portRef out (instanceRef sel_17_nand_3)) ) ) (net NET961 (joined (portRef out (instanceRef cla_nand_4)) (portRef in2 (instanceRef cla_nand_5)) ) ) (net NET962 (joined (portRef in1 (instanceRef cla_nand_5)) (portRef out (instanceRef cla_inv_8)) ) ) (net NET963 (joined (portRef in (instanceRef cla_inv_8)) (portRef out (instanceRef cla_nand_19)) ) ) (net NET964 (joined (portRef out (instanceRef cla_nor_3)) (portRef in2 (instanceRef cla_nand_4)) ) ) (net NET965 (joined (portRef out (instanceRef cla_nand_13)) (portRef in2 (instanceRef cla_nand_12)) ) ) (net NET966 (joined (portRef out (instanceRef cla_nand_10)) (portRef in2 (instanceRef cla_nand_9)) ) ) (net NET967 (joined (portRef out (instanceRef cla_nor_5)) (portRef in1 (instanceRef cla_nor_6)) (portRef in2 (instanceRef cla_nand_7)) ) ) (net NET968 (joined (portRef in2 (instanceRef cla_nor_6)) (portRef in2 (instanceRef cla_nand_8)) (portRef out (instanceRef cla_inv_7)) ) ) (net NET969 (joined (portRef out (instanceRef cla_nand_6)) (portRef in (instanceRef cla_inv_7)) ) ) (net NET970 (joined (portRef out (instanceRef cla_nand_9)) (portRef in3 (instanceRef cla_nand_17)) ) ) (net NET971 (joined (portRef out (instanceRef cla_nand_7)) (portRef in2 (instanceRef cla_nand_17)) ) ) (net NET972 (joined (portRef out (instanceRef cla_nand_8)) (portRef in1 (instanceRef cla_nand_17)) ) ) (net NET973 (joined (portRef out (instanceRef cla_nand_17)) (portRef in2 (instanceRef cla_eor_10)) (portRef in1 (instanceRef cla_nand_11)) ) ) (net NET974 (joined (portRef out (instanceRef cla_nand_11)) (portRef in1 (instanceRef cla_nand_12)) ) ) (net NET975 (joined (portRef in2 (instanceRef cla_nand_11)) (portRef out (instanceRef cla_inv_6)) ) ) (net NET976 (joined (portRef in (instanceRef cla_inv_6)) (portRef out (instanceRef cla_nor_11)) ) ) (net NET977 (joined (portRef out (instanceRef cla_nor_4)) (portRef in2 (instanceRef cla_nor_5)) ) ) (net NET978 (joined (portRef in1 (instanceRef cla_nand_6)) (portRef out (instanceRef cla_nand_5)) (portRef in1 (instanceRef cla_nor_4)) (portRef in2 (instanceRef cla_eor_9)) ) ) (net NET979 (joined (portRef out (instanceRef cla_nand_12)) (portRef in2 (instanceRef cla_eor_11)) ) ) (net NET980 (joined (portRef in2 (instanceRef cla_enor_5)) (portRef out (instanceRef cla_nor_6)) (portRef in2 (instanceRef cla_nand_10)) ) ) (net NET981 (joined (portRef out (instanceRef cla_eor_11)) (portRef in2 (instanceRef cla_eor_8)) ) ) (net NET982 (joined (portRef out (instanceRef cla_eor_10)) (portRef in2 (instanceRef cla_eor_7)) ) ) (net NET983 (joined (portRef out (instanceRef cla_enor_5)) (portRef in2 (instanceRef cla_eor_6)) ) ) (net NET984 (joined (portRef out (instanceRef cla_eor_9)) (portRef in2 (instanceRef cla_eor_5)) ) ) (net NET985 (joined (portRef in2 (instanceRef cla_nor_12)) (portRef out (instanceRef cla_inv_5)) ) ) (net NET986 (joined (portRef in (instanceRef cla_inv_5)) (portRef in1 (instanceRef cla_nor_15)) (portRef out (instanceRef cla_nand_15)) ) ) (net NET987 (joined (portRef out (instanceRef cla_nand_14)) (portRef in4 (instanceRef cla_nand_19)) ) ) (net NET988 (joined (portRef out (instanceRef cla_nand_16)) (portRef in3 (instanceRef cla_nand_19)) ) ) (net NET989 (joined (portRef out (instanceRef cla_nand_18)) (portRef in2 (instanceRef cla_nand_19)) ) ) (net NET990 (joined (portRef in1 (instanceRef cla_nand_19)) (portRef out (instanceRef cla_inv_4)) ) ) (net NET991 (joined (portRef in (instanceRef cla_inv_4)) (portRef out (instanceRef cla_nor_15)) ) ) (net NET992 (joined (portRef out (instanceRef cla_nor_9)) (portRef in2 (instanceRef cla_nor_10)) ) ) (net NET993 (joined (portRef out (instanceRef cla_nand_1)) (portRef in1 (instanceRef cla_nand_3)) (portRef in2 (instanceRef cla_nor_18)) ) ) (net NET994 (joined (portRef out (instanceRef cla_nand_2)) (portRef in2 (instanceRef cla_nand_3)) (portRef in2 (instanceRef cla_nor_19)) ) ) (net NET995 (joined (portRef out (instanceRef cla_nor_10)) (portRef in3 (instanceRef cla_nor_20)) ) ) (net NET996 (joined (portRef out (instanceRef cla_nor_18)) (portRef in2 (instanceRef cla_nor_20)) ) ) (net NET997 (joined (portRef out (instanceRef cla_nor_19)) (portRef in1 (instanceRef cla_nor_20)) ) ) (net NET998 (joined (portRef out (instanceRef cla_nor_20)) (portRef in2 (instanceRef cla_eor_3)) (portRef in1 (instanceRef cla_nor_13)) ) ) (net NET999 (joined (portRef out (instanceRef cla_nor_13)) (portRef in1 (instanceRef cla_nor_12)) ) ) (net NET1000 (joined (portRef out (instanceRef cla_nor_2)) (portRef in2 (instanceRef cla_nor_3)) ) ) (net NET1001 (joined (portRef in1 (instanceRef cla_nor_3)) (portRef out (instanceRef cla_inv_3)) ) ) (net NET1002 (joined (portRef in (instanceRef cla_inv_3)) (portRef out (instanceRef cla_nor_7)) (portRef in3 (instanceRef cla_nand_16)) ) ) (net NET1003 (joined (portRef in2 (instanceRef cla_nor_7)) (portRef out (instanceRef cla_inv_2)) ) ) (net NET1004 (joined (portRef in (instanceRef cla_inv_2)) (portRef out (instanceRef cla_nor_14)) (portRef in3 (instanceRef cla_nand_18)) ) ) (net NET1005 (joined (portRef out (instanceRef cla_nor_8)) (portRef in1 (instanceRef cla_nor_7)) ) ) (net NET1006 (joined (portRef in2 (instanceRef cla_nor_14)) (portRef out (instanceRef cla_nor_16)) (portRef in2 (instanceRef cla_nor_15)) ) ) (net NET1007 (joined (portRef in1 (instanceRef cla_nor_14)) (portRef out (instanceRef cla_nor_17)) (portRef in2 (instanceRef cla_nor_13)) ) ) (net NET1008 (joined (portRef in2 (instanceRef cla_nand_1)) (portRef out (instanceRef cla_inv_1)) ) ) (net NET1009 (joined (portRef in (instanceRef cla_inv_1)) (portRef out (instanceRef cla_nor_1)) ) ) (net NET1010 (joined (portRef out (instanceRef cla_nor_12)) (portRef in2 (instanceRef cla_eor_4)) ) ) (net NET1011 (joined (portRef in2 (instanceRef cla_enor_4)) (portRef out (instanceRef cla_nand_3)) (portRef in2 (instanceRef cla_nor_9)) ) ) (net NET1012 (joined (portRef out (instanceRef cla_eor_4)) (portRef in2 (instanceRef cla_enor_3)) ) ) (net NET1013 (joined (portRef out (instanceRef cla_eor_3)) (portRef in2 (instanceRef cla_enor_2)) ) ) (net NET1014 (joined (portRef out (instanceRef cla_enor_4)) (portRef in2 (instanceRef cla_enor_1)) ) ) (net NET1015 (joined (portRef out (instanceRef cla_eor_2)) (portRef in2 (instanceRef cla_eor_1)) ) ) (net NET1016 (joined (portRef in4 (instanceRef er2f_nand_38)) (portRef out (instanceRef er2f_nand_18)) ) ) (net NET1017 (joined (portRef in3 (instanceRef er2f_nand_38)) (portRef out (instanceRef er2f_nand_10)) ) ) (net NET1018 (joined (portRef in2 (instanceRef er2f_nand_38)) (portRef out (instanceRef er2f_nand_26)) ) ) (net NET1019 (joined (portRef in1 (instanceRef er2f_nand_38)) (portRef out (instanceRef er2f_nand_2)) ) ) (net NET1020 (joined (portRef in4 (instanceRef er2f_nand_39)) (portRef out (instanceRef er2f_nand_19)) ) ) (net NET1021 (joined (portRef in3 (instanceRef er2f_nand_39)) (portRef out (instanceRef er2f_nand_11)) ) ) (net NET1022 (joined (portRef in2 (instanceRef er2f_nand_39)) (portRef out (instanceRef er2f_nand_27)) ) ) (net NET1023 (joined (portRef in1 (instanceRef er2f_nand_39)) (portRef out (instanceRef er2f_nand_3)) ) ) (net NET1024 (joined (portRef in4 (instanceRef er2f_nand_40)) (portRef out (instanceRef er2f_nand_20)) ) ) (net NET1025 (joined (portRef in3 (instanceRef er2f_nand_40)) (portRef out (instanceRef er2f_nand_12)) ) ) (net NET1026 (joined (portRef in2 (instanceRef er2f_nand_40)) (portRef out (instanceRef er2f_nand_28)) ) ) (net NET1027 (joined (portRef in1 (instanceRef er2f_nand_40)) (portRef out (instanceRef er2f_nand_4)) ) ) (net NET1028 (joined (portRef in4 (instanceRef er2f_nand_36)) (portRef out (instanceRef er2f_nand_21)) ) ) (net NET1029 (joined (portRef in3 (instanceRef er2f_nand_36)) (portRef out (instanceRef er2f_nand_13)) ) ) (net NET1030 (joined (portRef in2 (instanceRef er2f_nand_36)) (portRef out (instanceRef er2f_nand_29)) ) ) (net NET1031 (joined (portRef in1 (instanceRef er2f_nand_36)) (portRef out (instanceRef er2f_nand_5)) ) ) (net NET1032 (joined (portRef in4 (instanceRef er2f_nand_35)) (portRef out (instanceRef er2f_nand_22)) ) ) (net NET1033 (joined (portRef in3 (instanceRef er2f_nand_35)) (portRef out (instanceRef er2f_nand_14)) ) ) (net NET1034 (joined (portRef in2 (instanceRef er2f_nand_35)) (portRef out (instanceRef er2f_nand_30)) ) ) (net NET1035 (joined (portRef in1 (instanceRef er2f_nand_35)) (portRef out (instanceRef er2f_nand_6)) ) ) (net NET1036 (joined (portRef in4 (instanceRef er2f_nand_34)) (portRef out (instanceRef er2f_nand_23)) ) ) (net NET1037 (joined (portRef in3 (instanceRef er2f_nand_34)) (portRef out (instanceRef er2f_nand_15)) ) ) (net NET1038 (joined (portRef in2 (instanceRef er2f_nand_34)) (portRef out (instanceRef er2f_nand_31)) ) ) (net NET1039 (joined (portRef in1 (instanceRef er2f_nand_34)) (portRef out (instanceRef er2f_nand_7)) ) ) (net NET1040 (joined (portRef in4 (instanceRef er2f_nand_33)) (portRef out (instanceRef er2f_nand_24)) ) ) (net NET1041 (joined (portRef in3 (instanceRef er2f_nand_33)) (portRef out (instanceRef er2f_nand_16)) ) ) (net NET1042 (joined (portRef in2 (instanceRef er2f_nand_33)) (portRef out (instanceRef er2f_nand_32)) ) ) (net NET1043 (joined (portRef in1 (instanceRef er2f_nand_33)) (portRef out (instanceRef er2f_nand_8)) ) ) (net NET1044 (joined (portRef in4 (instanceRef er2f_nand_37)) (portRef out (instanceRef er2f_nand_17)) ) ) (net NET1045 (joined (portRef in3 (instanceRef er2f_nand_37)) (portRef out (instanceRef er2f_nand_9)) ) ) (net NET1046 (joined (portRef in2 (instanceRef er2f_nand_37)) (portRef out (instanceRef er2f_nand_25)) ) ) (net NET1047 (joined (portRef in1 (instanceRef er2f_nand_37)) (portRef out (instanceRef er2f_nand_1)) ) ) (net NET1048 (joined (portRef in4 (instanceRef clain2_nand_38)) (portRef out (instanceRef clain2_nand_18)) ) ) (net NET1049 (joined (portRef in3 (instanceRef clain2_nand_38)) (portRef out (instanceRef clain2_nand_10)) ) ) (net NET1050 (joined (portRef in2 (instanceRef clain2_nand_38)) (portRef out (instanceRef clain2_nand_26)) ) ) (net NET1051 (joined (portRef in1 (instanceRef clain2_nand_38)) (portRef out (instanceRef clain2_nand_2)) ) ) (net NET1052 (joined (portRef in4 (instanceRef clain2_nand_39)) (portRef out (instanceRef clain2_nand_19)) ) ) (net NET1053 (joined (portRef in3 (instanceRef clain2_nand_39)) (portRef out (instanceRef clain2_nand_11)) ) ) (net NET1054 (joined (portRef in2 (instanceRef clain2_nand_39)) (portRef out (instanceRef clain2_nand_27)) ) ) (net NET1055 (joined (portRef in1 (instanceRef clain2_nand_39)) (portRef out (instanceRef clain2_nand_3)) ) ) (net NET1056 (joined (portRef in4 (instanceRef clain2_nand_40)) (portRef out (instanceRef clain2_nand_20)) ) ) (net NET1057 (joined (portRef in3 (instanceRef clain2_nand_40)) (portRef out (instanceRef clain2_nand_12)) ) ) (net NET1058 (joined (portRef in2 (instanceRef clain2_nand_40)) (portRef out (instanceRef clain2_nand_28)) ) ) (net NET1059 (joined (portRef in1 (instanceRef clain2_nand_40)) (portRef out (instanceRef clain2_nand_4)) ) ) (net NET1060 (joined (portRef in4 (instanceRef clain2_nand_36)) (portRef out (instanceRef clain2_nand_21)) ) ) (net NET1061 (joined (portRef in3 (instanceRef clain2_nand_36)) (portRef out (instanceRef clain2_nand_13)) ) ) (net NET1062 (joined (portRef in2 (instanceRef clain2_nand_36)) (portRef out (instanceRef clain2_nand_29)) ) ) (net NET1063 (joined (portRef in1 (instanceRef clain2_nand_36)) (portRef out (instanceRef clain2_nand_5)) ) ) (net NET1064 (joined (portRef in4 (instanceRef clain2_nand_35)) (portRef out (instanceRef clain2_nand_22)) ) ) (net NET1065 (joined (portRef in3 (instanceRef clain2_nand_35)) (portRef out (instanceRef clain2_nand_14)) ) ) (net NET1066 (joined (portRef in2 (instanceRef clain2_nand_35)) (portRef out (instanceRef clain2_nand_30)) ) ) (net NET1067 (joined (portRef in1 (instanceRef clain2_nand_35)) (portRef out (instanceRef clain2_nand_6)) ) ) (net NET1068 (joined (portRef in4 (instanceRef clain2_nand_34)) (portRef out (instanceRef clain2_nand_23)) ) ) (net NET1069 (joined (portRef in3 (instanceRef clain2_nand_34)) (portRef out (instanceRef clain2_nand_15)) ) ) (net NET1070 (joined (portRef in2 (instanceRef clain2_nand_34)) (portRef out (instanceRef clain2_nand_31)) ) ) (net NET1071 (joined (portRef in1 (instanceRef clain2_nand_34)) (portRef out (instanceRef clain2_nand_7)) ) ) (net NET1072 (joined (portRef in4 (instanceRef clain2_nand_33)) (portRef out (instanceRef clain2_nand_24)) ) ) (net NET1073 (joined (portRef in3 (instanceRef clain2_nand_33)) (portRef out (instanceRef clain2_nand_16)) ) ) (net NET1074 (joined (portRef in2 (instanceRef clain2_nand_33)) (portRef out (instanceRef clain2_nand_32)) ) ) (net NET1075 (joined (portRef in1 (instanceRef clain2_nand_33)) (portRef out (instanceRef clain2_nand_8)) ) ) (net NET1076 (joined (portRef in4 (instanceRef clain2_nand_37)) (portRef out (instanceRef clain2_nand_17)) ) ) (net NET1077 (joined (portRef in3 (instanceRef clain2_nand_37)) (portRef out (instanceRef clain2_nand_9)) ) ) (net NET1078 (joined (portRef in2 (instanceRef clain2_nand_37)) (portRef out (instanceRef clain2_nand_25)) ) ) (net NET1079 (joined (portRef in1 (instanceRef clain2_nand_37)) (portRef out (instanceRef clain2_nand_1)) ) ) (net NET1080 (joined (portRef in4 (instanceRef targetpc_nand_38)) (portRef out (instanceRef targetpc_nand_18)) ) ) (net NET1081 (joined (portRef in3 (instanceRef targetpc_nand_38)) (portRef out (instanceRef targetpc_nand_10)) ) ) (net NET1082 (joined (portRef in2 (instanceRef targetpc_nand_38)) (portRef out (instanceRef targetpc_nand_26)) ) ) (net NET1083 (joined (portRef in1 (instanceRef targetpc_nand_38)) (portRef out (instanceRef targetpc_nand_2)) ) ) (net NET1084 (joined (portRef in4 (instanceRef targetpc_nand_39)) (portRef out (instanceRef targetpc_nand_19)) ) ) (net NET1085 (joined (portRef in3 (instanceRef targetpc_nand_39)) (portRef out (instanceRef targetpc_nand_11)) ) ) (net NET1086 (joined (portRef in2 (instanceRef targetpc_nand_39)) (portRef out (instanceRef targetpc_nand_27)) ) ) (net NET1087 (joined (portRef in1 (instanceRef targetpc_nand_39)) (portRef out (instanceRef targetpc_nand_3)) ) ) (net NET1088 (joined (portRef in4 (instanceRef targetpc_nand_40)) (portRef out (instanceRef targetpc_nand_20)) ) ) (net NET1089 (joined (portRef in3 (instanceRef targetpc_nand_40)) (portRef out (instanceRef targetpc_nand_12)) ) ) (net NET1090 (joined (portRef in2 (instanceRef targetpc_nand_40)) (portRef out (instanceRef targetpc_nand_28)) ) ) (net NET1091 (joined (portRef in1 (instanceRef targetpc_nand_40)) (portRef out (instanceRef targetpc_nand_4)) ) ) (net NET1092 (joined (portRef in4 (instanceRef targetpc_nand_36)) (portRef out (instanceRef targetpc_nand_21)) ) ) (net NET1093 (joined (portRef in3 (instanceRef targetpc_nand_36)) (portRef out (instanceRef targetpc_nand_13)) ) ) (net NET1094 (joined (portRef in2 (instanceRef targetpc_nand_36)) (portRef out (instanceRef targetpc_nand_29)) ) ) (net NET1095 (joined (portRef in1 (instanceRef targetpc_nand_36)) (portRef out (instanceRef targetpc_nand_5)) ) ) (net NET1096 (joined (portRef in4 (instanceRef targetpc_nand_35)) (portRef out (instanceRef targetpc_nand_22)) ) ) (net NET1097 (joined (portRef in3 (instanceRef targetpc_nand_35)) (portRef out (instanceRef targetpc_nand_14)) ) ) (net NET1098 (joined (portRef in2 (instanceRef targetpc_nand_35)) (portRef out (instanceRef targetpc_nand_30)) ) ) (net NET1099 (joined (portRef in1 (instanceRef targetpc_nand_35)) (portRef out (instanceRef targetpc_nand_6)) ) ) (net NET1100 (joined (portRef in4 (instanceRef targetpc_nand_34)) (portRef out (instanceRef targetpc_nand_23)) ) ) (net NET1101 (joined (portRef in3 (instanceRef targetpc_nand_34)) (portRef out (instanceRef targetpc_nand_15)) ) ) (net NET1102 (joined (portRef in2 (instanceRef targetpc_nand_34)) (portRef out (instanceRef targetpc_nand_31)) ) ) (net NET1103 (joined (portRef in1 (instanceRef targetpc_nand_34)) (portRef out (instanceRef targetpc_nand_7)) ) ) (net NET1104 (joined (portRef in4 (instanceRef targetpc_nand_33)) (portRef out (instanceRef targetpc_nand_24)) ) ) (net NET1105 (joined (portRef in3 (instanceRef targetpc_nand_33)) (portRef out (instanceRef targetpc_nand_16)) ) ) (net NET1106 (joined (portRef in2 (instanceRef targetpc_nand_33)) (portRef out (instanceRef targetpc_nand_32)) ) ) (net NET1107 (joined (portRef in1 (instanceRef targetpc_nand_33)) (portRef out (instanceRef targetpc_nand_8)) ) ) (net NET1108 (joined (portRef in4 (instanceRef targetpc_nand_37)) (portRef out (instanceRef targetpc_nand_17)) ) ) (net NET1109 (joined (portRef in3 (instanceRef targetpc_nand_37)) (portRef out (instanceRef targetpc_nand_9)) ) ) (net NET1110 (joined (portRef in2 (instanceRef targetpc_nand_37)) (portRef out (instanceRef targetpc_nand_25)) ) ) (net NET1111 (joined (portRef in1 (instanceRef targetpc_nand_37)) (portRef out (instanceRef targetpc_nand_1)) ) ) (net NET1112 (joined (portRef out (instanceRef mem__all_nor_1)) (portRef in2 (instanceRef mem__all_nor_2)) ) ) (net NET1113 (joined (portRef out (instanceRef mem__all_nor_2)) (portRef d (instanceRef mem__all_reg)) ) ) (net NET1114 (joined (portRef out (instanceRef id_idt_nor_1)) (portRef in1 (instanceRef id_idt_nor_2)) ) ) (net NET1115 (joined (portRef out (instanceRef id_idt_nor_2)) (portRef d (instanceRef id_idt_reg)) ) ) (net NET1116 (joined (portRef out (instanceRef id__all_nor_1)) (portRef in1 (instanceRef id__all_nor_2)) ) ) (net NET1117 (joined (portRef out (instanceRef id__all_nor_2)) (portRef d (instanceRef id__all_reg)) ) ) (net NET1118 (joined (portRef out (instanceRef if__all_nor_1)) (portRef in2 (instanceRef if__all_nor_2)) ) ) (net NET1119 (joined (portRef out (instanceRef if__all_nor_2)) (portRef d (instanceRef if__all_reg)) ) ) (net NET1120 (joined (portRef out (instanceRef counter__all_nor_1)) (portRef d (instanceRef counter__all_reg)) ) ) (net NET1121 (joined (portRef out (instanceRef pipectl__all_nor_1)) (portRef d (instanceRef pipectl__all_reg)) ) ) (net NET1122 (joined (portRef out (instanceRef int_intt_nor_1)) (portRef in2 (instanceRef int_intt_nor_2)) ) ) (net NET1123 (joined (portRef out (instanceRef int_intt_nor_2)) (portRef d (instanceRef int_intt_reg)) ) ) (net NET1124 (joined (portRef out (instanceRef dec_nand_1)) (portRef in2 (instanceRef dec_enor_2)) (portRef in2 (instanceRef dec_nor_2)) ) ) (net NET1125 (joined (portRef out (instanceRef dec_nand_2)) (portRef in2 (instanceRef dec_enor_3)) (portRef in2 (instanceRef dec_nor_3)) ) ) (net NET1126 (joined (portRef out (instanceRef dec_nand_3)) (portRef in2 (instanceRef dec_enor_4)) ) ) (net NET1127 (joined (portRef in2 (instanceRef dec_eor_3)) (portRef out (instanceRef dec_nor_3)) (portRef in2 (instanceRef dec_nand_3)) ) ) (net NET1128 (joined (portRef in2 (instanceRef dec_eor_2)) (portRef out (instanceRef dec_nor_2)) (portRef in2 (instanceRef dec_nand_2)) ) ) (net NET1129 (joined (portRef in2 (instanceRef dec_eor_1)) (portRef out (instanceRef dec_nor_1)) (portRef in2 (instanceRef dec_nand_1)) ) ) (net NET1130 (joined (portRef in5 (instanceRef aluo_nand_40)) (portRef out (instanceRef aluo_nand_19)) ) ) (net NET1131 (joined (portRef in4 (instanceRef aluo_nand_40)) (portRef out (instanceRef aluo_nand_25)) ) ) (net NET1132 (joined (portRef in3 (instanceRef aluo_nand_40)) (portRef out (instanceRef aluo_nand_32)) ) ) (net NET1133 (joined (portRef in2 (instanceRef aluo_nand_40)) (portRef out (instanceRef aluo_nand_11)) ) ) (net NET1134 (joined (portRef in1 (instanceRef aluo_nand_40)) (portRef out (instanceRef aluo_nand_2)) ) ) (net NET1135 (joined (portRef in4 (instanceRef aluo_nand_37)) (portRef out (instanceRef aluo_nand_20)) ) ) (net NET1136 (joined (portRef in3 (instanceRef aluo_nand_37)) (portRef out (instanceRef aluo_nand_27)) ) ) (net NET1137 (joined (portRef in2 (instanceRef aluo_nand_37)) (portRef out (instanceRef aluo_nand_12)) ) ) (net NET1138 (joined (portRef in1 (instanceRef aluo_nand_37)) (portRef out (instanceRef aluo_nand_3)) ) ) (net NET1139 (joined (portRef in4 (instanceRef aluo_nand_38)) (portRef out (instanceRef aluo_nand_21)) ) ) (net NET1140 (joined (portRef in3 (instanceRef aluo_nand_38)) (portRef out (instanceRef aluo_nand_28)) ) ) (net NET1141 (joined (portRef in2 (instanceRef aluo_nand_38)) (portRef out (instanceRef aluo_nand_13)) ) ) (net NET1142 (joined (portRef in1 (instanceRef aluo_nand_38)) (portRef out (instanceRef aluo_nand_4)) ) ) (net NET1143 (joined (portRef in4 (instanceRef aluo_nand_39)) (portRef out (instanceRef aluo_nand_22)) ) ) (net NET1144 (joined (portRef in3 (instanceRef aluo_nand_39)) (portRef out (instanceRef aluo_nand_29)) ) ) (net NET1145 (joined (portRef in2 (instanceRef aluo_nand_39)) (portRef out (instanceRef aluo_nand_14)) ) ) (net NET1146 (joined (portRef in1 (instanceRef aluo_nand_39)) (portRef out (instanceRef aluo_nand_5)) ) ) (net NET1147 (joined (portRef in4 (instanceRef aluo_nand_35)) (portRef out (instanceRef aluo_nand_23)) ) ) (net NET1148 (joined (portRef in3 (instanceRef aluo_nand_35)) (portRef out (instanceRef aluo_nand_24)) ) ) (net NET1149 (joined (portRef in2 (instanceRef aluo_nand_35)) (portRef out (instanceRef aluo_nand_15)) ) ) (net NET1150 (joined (portRef in1 (instanceRef aluo_nand_35)) (portRef out (instanceRef aluo_nand_6)) ) ) (net NET1151 (joined (portRef in4 (instanceRef aluo_nand_34)) (portRef out (instanceRef aluo_nand_17)) ) ) (net NET1152 (joined (portRef in3 (instanceRef aluo_nand_34)) (portRef out (instanceRef aluo_nand_30)) ) ) (net NET1153 (joined (portRef in2 (instanceRef aluo_nand_34)) (portRef out (instanceRef aluo_nand_16)) ) ) (net NET1154 (joined (portRef in1 (instanceRef aluo_nand_34)) (portRef out (instanceRef aluo_nand_7)) ) ) (net NET1155 (joined (portRef in4 (instanceRef aluo_nand_36)) (portRef out (instanceRef aluo_nand_18)) ) ) (net NET1156 (joined (portRef in3 (instanceRef aluo_nand_36)) (portRef out (instanceRef aluo_nand_31)) ) ) (net NET1157 (joined (portRef in2 (instanceRef aluo_nand_36)) (portRef out (instanceRef aluo_nand_10)) ) ) (net NET1158 (joined (portRef in1 (instanceRef aluo_nand_36)) (portRef out (instanceRef aluo_nand_8)) ) ) (net NET1159 (joined (portRef in3 (instanceRef aluo_nand_33)) (portRef out (instanceRef aluo_nand_9)) ) ) (net NET1160 (joined (portRef in2 (instanceRef aluo_nand_33)) (portRef out (instanceRef aluo_nand_26)) ) ) (net NET1161 (joined (portRef in1 (instanceRef aluo_nand_33)) (portRef out (instanceRef aluo_nand_1)) ) ) (net NET1162 (joined (portRef clrn (instanceRef int__all_reg)) (portRef clrn (instanceRef pipectl__all_reg)) (portRef clrn (instanceRef if__all_reg)) (portRef clrn (instanceRef id_idt_reg)) (portRef clrn (instanceRef mem__all_reg)) (portRef prn (instanceRef pc_reg6_reg)) (portRef prn (instanceRef pc_reg4_reg)) (portRef prn (instanceRef pc_reg2_reg)) (portRef prn (instanceRef pc_reg0_reg)) (portRef prn (instanceRef pc_reg1_reg)) (portRef prn (instanceRef pc_reg3_reg)) (portRef prn (instanceRef pc_reg5_reg)) (portRef prn (instanceRef pc_reg7_reg)) (portRef prn (instanceRef ir1_reg6_reg)) (portRef prn (instanceRef ir1_reg4_reg)) (portRef prn (instanceRef ir1_reg2_reg)) (portRef prn (instanceRef ir1_reg0_reg)) (portRef prn (instanceRef ir1_reg1_reg)) (portRef prn (instanceRef ir1_reg3_reg)) (portRef prn (instanceRef ir1_reg5_reg)) (portRef prn (instanceRef ir1_reg7_reg)) (portRef prn (instanceRef ir3_reg6_reg)) (portRef prn (instanceRef ir3_reg4_reg)) (portRef prn (instanceRef ir3_reg2_reg)) (portRef prn (instanceRef ir3_reg0_reg)) (portRef prn (instanceRef ir3_reg1_reg)) (portRef prn (instanceRef ir3_reg3_reg)) (portRef prn (instanceRef ir3_reg5_reg)) (portRef prn (instanceRef ir3_reg7_reg)) (portRef prn (instanceRef epc_reg6_reg)) (portRef prn (instanceRef epc_reg4_reg)) (portRef prn (instanceRef epc_reg2_reg)) (portRef prn (instanceRef epc_reg0_reg)) (portRef prn (instanceRef epc_reg1_reg)) (portRef prn (instanceRef epc_reg3_reg)) (portRef prn (instanceRef epc_reg5_reg)) (portRef prn (instanceRef epc_reg7_reg)) (portRef prn (instanceRef eop2_reg6_reg)) (portRef prn (instanceRef eop2_reg4_reg)) (portRef prn (instanceRef eop2_reg2_reg)) (portRef prn (instanceRef eop2_reg0_reg)) (portRef prn (instanceRef eop2_reg1_reg)) (portRef prn (instanceRef eop2_reg3_reg)) (portRef prn (instanceRef eop2_reg5_reg)) (portRef prn (instanceRef eop2_reg7_reg)) (portRef prn (instanceRef msrc_reg6_reg)) (portRef prn (instanceRef msrc_reg4_reg)) (portRef prn (instanceRef msrc_reg2_reg)) (portRef prn (instanceRef msrc_reg0_reg)) (portRef prn (instanceRef msrc_reg1_reg)) (portRef prn (instanceRef msrc_reg3_reg)) (portRef prn (instanceRef msrc_reg5_reg)) (portRef prn (instanceRef msrc_reg7_reg)) (portRef prn (instanceRef walu_reg6_reg)) (portRef prn (instanceRef walu_reg4_reg)) (portRef prn (instanceRef walu_reg2_reg)) (portRef prn (instanceRef walu_reg0_reg)) (portRef prn (instanceRef walu_reg1_reg)) (portRef prn (instanceRef walu_reg3_reg)) (portRef prn (instanceRef walu_reg5_reg)) (portRef prn (instanceRef walu_reg7_reg)) (portRef prn (instanceRef alusel_reg3_reg)) (portRef prn (instanceRef alusel_reg1_reg)) (portRef prn (instanceRef alusel_reg0_reg)) (portRef prn (instanceRef alusel_reg2_reg)) (portRef prn (instanceRef alusel_reg4_reg)) (portRef prn (instanceRef ru2_reg2_reg)) (portRef prn (instanceRef ru2_reg1_reg)) (portRef prn (instanceRef ru2_reg3_reg)) (portRef prn (instanceRef if_1_reg)) (portRef prn (instanceRef eop_reg14_reg)) (portRef prn (instanceRef eop_reg12_reg)) (portRef prn (instanceRef eop_reg10_reg)) (portRef prn (instanceRef eop_reg6_reg)) (portRef prn (instanceRef eop_reg4_reg)) (portRef prn (instanceRef eop_reg2_reg)) (portRef prn (instanceRef eop_reg0_reg)) (portRef prn (instanceRef eop_reg1_reg)) (portRef prn (instanceRef eop_reg3_reg)) (portRef prn (instanceRef eop_reg5_reg)) (portRef prn (instanceRef eop_reg7_reg)) (portRef prn (instanceRef eop_reg11_reg)) (portRef prn (instanceRef eop_reg13_reg)) (portRef prn (instanceRef eop_reg15_reg)) (portRef prn (instanceRef mop_reg4_reg)) (portRef prn (instanceRef mop_reg2_reg)) (portRef prn (instanceRef mop_reg0_reg)) (portRef prn (instanceRef mop_reg1_reg)) (portRef prn (instanceRef mop_reg3_reg)) (portRef prn (instanceRef mop_reg5_reg)) (portRef in6 (instanceRef nand_70_nand_1)) (portRef prn (instanceRef st1_reg)) (portRef ena (instanceRef st1_reg)) (portRef prn (instanceRef clasel1_reg2_reg)) (portRef prn (instanceRef clasel1_reg0_reg)) (portRef prn (instanceRef clasel1_reg1_reg)) (portRef prn (instanceRef clasel1_reg3_reg)) (portRef prn (instanceRef wop_reg0_reg)) (portRef prn (instanceRef wop_reg1_reg)) (portRef prn (instanceRef etif_reg0_reg)) (portRef prn (instanceRef etif_reg1_reg)) (portRef prn (instanceRef inten_reg)) (portRef prn (instanceRef cntintflag_reg)) (portRef prn (instanceRef ntif_reg)) (portRef prn (instanceRef streq_reg)) (portRef VDD) (portRef in1 (instanceRef sel_20_nand_51)) (portRef in1 (instanceRef sel_20_nand_49)) (portRef in1 (instanceRef sel_20_nand_52)) (portRef in1 (instanceRef sel_20_nand_50)) (portRef prn (instanceRef btbv_reg)) (portRef prn (instanceRef eforcenop_reg)) (portRef prn (instanceRef cnten_reg)) (portRef ena (instanceRef st0_reg)) (portRef d (instanceRef st0_reg)) (portRef prn (instanceRef st0_reg)) (portRef prn (instanceRef dtif_reg1_reg)) (portRef prn (instanceRef dtif_reg0_reg)) (portRef prn (instanceRef clasel2_reg3_reg)) (portRef prn (instanceRef clasel2_reg1_reg)) (portRef prn (instanceRef clasel2_reg0_reg)) (portRef prn (instanceRef clasel2_reg2_reg)) (portRef ena (instanceRef st2_reg)) (portRef prn (instanceRef st2_reg)) (portRef prn (instanceRef gr_r3_reg7_reg)) (portRef prn (instanceRef gr_r3_reg5_reg)) (portRef prn (instanceRef gr_r3_reg3_reg)) (portRef prn (instanceRef gr_r3_reg1_reg)) (portRef prn (instanceRef gr_r3_reg0_reg)) (portRef prn (instanceRef gr_r3_reg2_reg)) (portRef prn (instanceRef gr_r3_reg4_reg)) (portRef prn (instanceRef gr_r3_reg6_reg)) (portRef prn (instanceRef gr_r1_reg7_reg)) (portRef prn (instanceRef gr_r1_reg5_reg)) (portRef prn (instanceRef gr_r1_reg3_reg)) (portRef prn (instanceRef gr_r1_reg1_reg)) (portRef prn (instanceRef gr_r1_reg0_reg)) (portRef prn (instanceRef gr_r1_reg2_reg)) (portRef prn (instanceRef gr_r1_reg4_reg)) (portRef prn (instanceRef gr_r1_reg6_reg)) (portRef prn (instanceRef gr_r0_reg6_reg)) (portRef prn (instanceRef gr_r0_reg4_reg)) (portRef prn (instanceRef gr_r0_reg2_reg)) (portRef prn (instanceRef gr_r0_reg0_reg)) (portRef prn (instanceRef gr_r0_reg1_reg)) (portRef prn (instanceRef gr_r0_reg3_reg)) (portRef prn (instanceRef gr_r0_reg5_reg)) (portRef prn (instanceRef gr_r0_reg7_reg)) (portRef prn (instanceRef gr_r2_reg6_reg)) (portRef prn (instanceRef gr_r2_reg4_reg)) (portRef prn (instanceRef gr_r2_reg2_reg)) (portRef prn (instanceRef gr_r2_reg0_reg)) (portRef prn (instanceRef gr_r2_reg1_reg)) (portRef prn (instanceRef gr_r2_reg3_reg)) (portRef prn (instanceRef gr_r2_reg5_reg)) (portRef prn (instanceRef gr_r2_reg7_reg)) (portRef prn (instanceRef op_reg15_reg)) (portRef prn (instanceRef op_reg13_reg)) (portRef prn (instanceRef op_reg11_reg)) (portRef prn (instanceRef op_reg9_reg)) (portRef prn (instanceRef op_reg7_reg)) (portRef prn (instanceRef op_reg5_reg)) (portRef prn (instanceRef op_reg3_reg)) (portRef prn (instanceRef op_reg1_reg)) (portRef prn (instanceRef op_reg0_reg)) (portRef prn (instanceRef op_reg2_reg)) (portRef prn (instanceRef op_reg4_reg)) (portRef prn (instanceRef op_reg6_reg)) (portRef prn (instanceRef op_reg8_reg)) (portRef prn (instanceRef op_reg10_reg)) (portRef prn (instanceRef op_reg12_reg)) (portRef prn (instanceRef op_reg14_reg)) (portRef prn (instanceRef btb_reg15_reg)) (portRef prn (instanceRef btb_reg13_reg)) (portRef prn (instanceRef btb_reg11_reg)) (portRef prn (instanceRef btb_reg9_reg)) (portRef prn (instanceRef btb_reg7_reg)) (portRef prn (instanceRef btb_reg5_reg)) (portRef prn (instanceRef btb_reg3_reg)) (portRef prn (instanceRef btb_reg1_reg)) (portRef prn (instanceRef btb_reg0_reg)) (portRef prn (instanceRef btb_reg2_reg)) (portRef prn (instanceRef btb_reg4_reg)) (portRef prn (instanceRef btb_reg6_reg)) (portRef prn (instanceRef btb_reg8_reg)) (portRef prn (instanceRef btb_reg10_reg)) (portRef prn (instanceRef btb_reg12_reg)) (portRef prn (instanceRef btb_reg14_reg)) (portRef prn (instanceRef if_0_reg)) (portRef prn (instanceRef ru3_reg3_reg)) (portRef prn (instanceRef ru3_reg1_reg)) (portRef prn (instanceRef ru3_reg2_reg)) (portRef prn (instanceRef ru1_reg3_reg)) (portRef prn (instanceRef ru1_reg1_reg)) (portRef prn (instanceRef ru1_reg0_reg)) (portRef prn (instanceRef ru1_reg2_reg)) (portRef prn (instanceRef xalu_reg7_reg)) (portRef prn (instanceRef xalu_reg5_reg)) (portRef prn (instanceRef xalu_reg3_reg)) (portRef prn (instanceRef xalu_reg1_reg)) (portRef prn (instanceRef xalu_reg0_reg)) (portRef prn (instanceRef xalu_reg2_reg)) (portRef prn (instanceRef xalu_reg4_reg)) (portRef prn (instanceRef xalu_reg6_reg)) (portRef prn (instanceRef mar_reg7_reg)) (portRef prn (instanceRef mar_reg5_reg)) (portRef prn (instanceRef mar_reg3_reg)) (portRef prn (instanceRef mar_reg1_reg)) (portRef prn (instanceRef mar_reg0_reg)) (portRef prn (instanceRef mar_reg2_reg)) (portRef prn (instanceRef mar_reg4_reg)) (portRef prn (instanceRef mar_reg6_reg)) (portRef prn (instanceRef malu_reg7_reg)) (portRef prn (instanceRef malu_reg5_reg)) (portRef prn (instanceRef malu_reg3_reg)) (portRef prn (instanceRef malu_reg1_reg)) (portRef prn (instanceRef malu_reg0_reg)) (portRef prn (instanceRef malu_reg2_reg)) (portRef prn (instanceRef malu_reg4_reg)) (portRef prn (instanceRef malu_reg6_reg)) (portRef prn (instanceRef eop1_reg7_reg)) (portRef prn (instanceRef eop1_reg5_reg)) (portRef prn (instanceRef eop1_reg3_reg)) (portRef prn (instanceRef eop1_reg1_reg)) (portRef prn (instanceRef eop1_reg0_reg)) (portRef prn (instanceRef eop1_reg2_reg)) (portRef prn (instanceRef eop1_reg4_reg)) (portRef prn (instanceRef eop1_reg6_reg)) (portRef prn (instanceRef dpc_reg7_reg)) (portRef prn (instanceRef dpc_reg5_reg)) (portRef prn (instanceRef dpc_reg3_reg)) (portRef prn (instanceRef dpc_reg1_reg)) (portRef prn (instanceRef dpc_reg0_reg)) (portRef prn (instanceRef dpc_reg2_reg)) (portRef prn (instanceRef dpc_reg4_reg)) (portRef prn (instanceRef dpc_reg6_reg)) (portRef prn (instanceRef ir2_reg7_reg)) (portRef prn (instanceRef ir2_reg5_reg)) (portRef prn (instanceRef ir2_reg3_reg)) (portRef prn (instanceRef ir2_reg1_reg)) (portRef prn (instanceRef ir2_reg0_reg)) (portRef prn (instanceRef ir2_reg2_reg)) (portRef prn (instanceRef ir2_reg4_reg)) (portRef prn (instanceRef ir2_reg6_reg)) (portRef prn (instanceRef tpc_reg7_reg)) (portRef prn (instanceRef tpc_reg5_reg)) (portRef prn (instanceRef tpc_reg3_reg)) (portRef prn (instanceRef tpc_reg1_reg)) (portRef prn (instanceRef tpc_reg0_reg)) (portRef prn (instanceRef tpc_reg2_reg)) (portRef prn (instanceRef tpc_reg4_reg)) (portRef prn (instanceRef tpc_reg6_reg)) (portRef clrn (instanceRef wrb__all_reg)) (portRef clrn (instanceRef exec__all_reg)) (portRef clrn (instanceRef id__all_reg)) (portRef clrn (instanceRef counter__all_reg)) (portRef clrn (instanceRef int_intt_reg)) (portRef in6 (instanceRef aluo_nand_40)) ) ) (net NET1163 (joined (portRef out (instanceRef sel_8_nand_1)) (portRef in2 (instanceRef sel_8_nand_2)) ) ) (net NET1164 (joined (portRef out (instanceRef sel_8_nand_3)) (portRef in1 (instanceRef sel_8_nand_2)) ) ) (net NET1165 (joined (portRef out (instanceRef sel_8_nand_6)) (portRef in2 (instanceRef sel_8_nand_5)) ) ) (net NET1166 (joined (portRef out (instanceRef sel_8_nand_4)) (portRef in1 (instanceRef sel_8_nand_5)) ) ) ) ) ) ) (design sp1 (cellRef sp1 (libraryRef LIB))) )